-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.0V -- Bank 2: 3.0V -- Bank 3: 1.2V -- Bank 4: 3.0V -- Bank 5: 1.2V -- Bank 6: 1.2V -- Bank 7: 3.0V -- Bank 8: 3.0V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), -- connect each pin marked GND* either individually through a 10k Ohm resistor -- to GND or tie all pins together and connect through a single 10k Ohm resistor -- to GND. -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Full Version CHIP "sigma_delta" ASSIGNED TO AN: EP3C25Q240C8 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- VCCD_PLL3 : 1 : power : : 1.2V : : GNDA3 : 2 : gnd : : : : VCCA3 : 3 : power : : 2.5V : : USB_FD[2] : 4 : bidir : 3.0-V LVTTL : : 1 : Y USB_FD[0] : 5 : bidir : 3.0-V LVTTL : : 1 : Y USB_FD[3] : 6 : bidir : 3.0-V LVTTL : : 1 : Y VCCIO1 : 7 : power : : 3.0V : 1 : GND : 8 : gnd : : : : debug[10] : 9 : bidir : 3.0-V LVTTL : : 1 : Y VCCINT : 10 : power : : 1.2V : : GND : 11 : gnd : : : : ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 12 : input : 3.0-V LVTTL : : 1 : Y DACtwo[3] : 13 : bidir : 3.0-V LVTTL : : 1 : Y ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 14 : input : 3.0-V LVTTL : : 1 : Y VCCIO1 : 15 : power : : 3.0V : 1 : GND : 16 : gnd : : : : nSTATUS : 17 : : : : 1 : debug[9] : 18 : bidir : 3.0-V LVTTL : : 1 : Y VCCINT : 19 : power : : 1.2V : : GND : 20 : gnd : : : : DACtwo[4] : 21 : bidir : 3.0-V LVTTL : : 1 : Y DACtwo[2] : 22 : bidir : 3.0-V LVTTL : : 1 : Y ~ALTERA_DCLK~ : 23 : output : 3.0-V LVTTL : : 1 : Y ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 24 : input : 3.0-V LVTTL : : 1 : Y nCONFIG : 25 : : : : 1 : TDI : 26 : input : : : 1 : TCK : 27 : input : : : 1 : TMS : 28 : input : : : 1 : TDO : 29 : output : : : 1 : nCE : 30 : : : : 1 : master_clock : 31 : input : 3.0-V LVTTL : : 1 : Y GND+ : 32 : : : : 1 : GND+ : 33 : : : : 2 : GND+ : 34 : : : : 2 : VCCIO2 : 35 : power : : 3.0V : 2 : GND : 36 : gnd : : : : DACtwo[0] : 37 : bidir : 3.0-V LVTTL : : 2 : Y DACtwo[1] : 38 : bidir : 3.0-V LVTTL : : 2 : Y debug[7] : 39 : bidir : 3.0-V LVTTL : : 2 : Y VCCINT : 40 : power : : 1.2V : : debug[8] : 41 : bidir : 3.0-V LVTTL : : 2 : Y GND : 42 : gnd : : : : debug[11] : 43 : bidir : 3.0-V LVTTL : : 2 : Y debug[19] : 44 : bidir : 3.0-V LVTTL : : 2 : Y debug[13] : 45 : bidir : 3.0-V LVTTL : : 2 : Y debug[18] : 46 : bidir : 3.0-V LVTTL : : 2 : Y VCCIO2 : 47 : power : : 3.0V : 2 : GND : 48 : gnd : : : : debug[14] : 49 : bidir : 3.0-V LVTTL : : 2 : Y debug[16] : 50 : bidir : 3.0-V LVTTL : : 2 : Y debug[15] : 51 : bidir : 3.0-V LVTTL : : 2 : Y DACone[4] : 52 : bidir : 3.0-V LVTTL : : 2 : Y VCCINT : 53 : power : : 1.2V : : GND : 54 : gnd : : : : debug[6] : 55 : bidir : 3.0-V LVTTL : : 2 : Y debug[17] : 56 : bidir : 3.0-V LVTTL : : 2 : Y debug[12] : 57 : bidir : 3.0-V LVTTL : : 2 : Y VCCA1 : 58 : power : : 2.5V : : GNDA1 : 59 : gnd : : : : VCCD_PLL1 : 60 : power : : 1.2V : : VCCINT : 61 : power : : 1.2V : : GND : 62 : gnd : : : : asic_RAMP : 63 : output : 1.2 V : : 3 : Y asic_D[8] : 64 : input : 1.2 V : : 3 : Y asic_TOKdecode[2] : 65 : output : 1.2 V : : 3 : Y VCCIO3 : 66 : power : : 1.2V : 3 : GND : 67 : gnd : : : : asic_D[5] : 68 : input : 1.2 V : : 3 : Y asic_Mclk : 69 : output : 1.2 V : : 3 : Y asic_copyMclk : 70 : output : 1.2 V : : 3 : Y asic_D[11] : 71 : input : 1.2 V : : 3 : Y asic_ADClatch_ext : 72 : output : 1.2 V : : 3 : Y asic_RO_en : 73 : output : 1.2 V : : 3 : Y VCCINT : 74 : power : : 1.2V : : GND : 75 : gnd : : : : asic_clearADC : 76 : output : 1.2 V : : 3 : Y VCCIO3 : 77 : power : : 1.2V : 3 : asic_TOKout[1] : 78 : input : 1.2 V : : 3 : Y GND : 79 : gnd : : : : asic_TOKout[2] : 80 : input : 1.2 V : : 3 : Y asic_Rofan_mon : 81 : input : 1.2 V : : 3 : Y asic_D[2] : 82 : input : 1.2 V : : 3 : Y asic_D[1] : 83 : input : 1.2 V : : 3 : Y asic_Rdswitch_en : 84 : output : 1.2 V : : 3 : Y VCCINT : 85 : power : : 1.2V : : GND : 86 : gnd : : : : asic_D[0] : 87 : input : 1.2 V : : 3 : Y asic_trig_SIGN : 88 : output : 1.2 V : : 3 : Y GND+ : 89 : : : : 3 : GND+ : 90 : : : : 3 : GND+ : 91 : : : : 4 : USB_CLKOUT : 92 : input : 3.0-V LVTTL : : 4 : Y debug[5] : 93 : bidir : 3.0-V LVTTL : : 4 : Y DACone[3] : 94 : bidir : 3.0-V LVTTL : : 4 : Y debug[2] : 95 : bidir : 3.0-V LVTTL : : 4 : Y VCCIO4 : 96 : power : : 3.0V : 4 : GND : 97 : gnd : : : : DACone[0] : 98 : bidir : 3.0-V LVTTL : : 4 : Y Ext_Trg_In : 99 : input : 3.0-V LVTTL : : 4 : Y GND* : 100 : : : : 4 : VCCINT : 101 : power : : 1.2V : : GND : 102 : gnd : : : : GND* : 103 : : : : 4 : VCCIO4 : 104 : power : : 3.0V : 4 : GND : 105 : gnd : : : : led_1 : 106 : output : 3.0-V LVTTL : : 4 : Y led_0 : 107 : output : 3.0-V LVTTL : : 4 : Y GND* : 108 : : : : 4 : led_2 : 109 : output : 3.0-V LVTTL : : 4 : Y GND* : 110 : : : : 4 : GND* : 111 : : : : 4 : GND* : 112 : : : : 4 : GND* : 113 : : : : 4 : GND* : 114 : : : : 4 : VCCINT : 115 : power : : 1.2V : : GND : 116 : gnd : : : : GND* : 117 : : : : 4 : GND* : 118 : : : : 4 : GND* : 119 : : : : 4 : GND* : 120 : : : : 4 : VCCD_PLL4 : 121 : power : : 1.2V : : GNDA4 : 122 : gnd : : : : VCCA4 : 123 : power : : 2.5V : : VCCIO5 : 124 : power : : 1.2V : 5 : GND : 125 : gnd : : : : asic_D[3] : 126 : input : 1.2 V : : 5 : Y asic_TOKdecode[0] : 127 : output : 1.2 V : : 5 : Y asic_TRIGGER[4] : 128 : input : 1.2 V : : 5 : Y VCCINT : 129 : power : : 1.2V : : GND : 130 : gnd : : : : asic_TOKin[1] : 131 : output : 1.2 V : : 5 : Y asic_DLLreset : 132 : output : 1.2 V : : 5 : Y asic_D[9] : 133 : input : 1.2 V : : 5 : Y asic_DAToverflow : 134 : input : 1.2 V : : 5 : Y asic_D[6] : 135 : input : 1.2 V : : 5 : Y VCCIO5 : 136 : power : : 1.2V : 5 : asic_TRIGGER[5] : 137 : input : 1.2 V : : 5 : Y GND : 138 : gnd : : : : asic_sDAT_test : 139 : input : 1.2 V : : 5 : Y VCCINT : 140 : power : : 1.2V : : GND : 141 : gnd : : : : asic_RO_mon : 142 : input : 1.2 V : : 5 : Y asic_RDclk2 : 143 : output : 1.2 V : : 5 : Y asic_trig_EN : 144 : output : 1.2 V : : 5 : Y asic_RDclk1 : 145 : output : 1.2 V : : 5 : Y asic_trig_EXT : 146 : output : 1.2 V : : 5 : Y asic_TOKin[2] : 147 : output : 1.2 V : : 5 : Y asic_D[7] : 148 : input : 1.2 V : : 5 : Y asic_DIout : 149 : input : 1.2 V : : 5 : Y asic_trig_OR : 150 : input : 1.2 V : : 5 : Y asic_TRIGGER[3] : 151 : input : 1.2 V : : 6 : Y asic_TRIGGER[2] : 152 : input : 1.2 V : : 6 : Y CONF_DONE : 153 : : : : 6 : VCCIO6 : 154 : power : : 1.2V : 6 : MSEL0 : 155 : : : : 6 : GND : 156 : gnd : : : : MSEL1 : 157 : : : : 6 : MSEL2 : 158 : : : : 6 : asic_CHANdecode[0] : 159 : output : 1.2 V : : 6 : Y asic_CHANdecode[1] : 160 : output : 1.2 V : : 6 : Y asic_TRIGGER[0] : 161 : input : 1.2 V : : 6 : Y ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 162 : output : 1.2 V : : 6 : Y VCCINT : 163 : power : : 1.2V : : asic_RO_freq_sel : 164 : output : 1.2 V : : 6 : Y GND : 165 : gnd : : : : asic_TRIGGER[1] : 166 : input : 1.2 V : : 6 : Y asic_COMPbypass_sel : 167 : output : 1.2 V : : 6 : Y asic_D[10] : 168 : input : 1.2 V : : 6 : Y asic_CHANdecode[2] : 169 : output : 1.2 V : : 6 : Y VCCIO6 : 170 : power : : 1.2V : 6 : asic_trig_CLEAR : 171 : output : 1.2 V : : 6 : Y GND : 172 : gnd : : : : asic_COMPtest_out : 173 : input : 1.2 V : : 6 : Y VCCINT : 174 : power : : 1.2V : : GND : 175 : gnd : : : : asic_D[4] : 176 : input : 1.2 V : : 6 : Y asic_TOKdecode[1] : 177 : output : 1.2 V : : 6 : Y VCCA2 : 178 : power : : 2.5V : : GNDA2 : 179 : gnd : : : : VCCD_PLL2 : 180 : power : : 1.2V : : GND* : 181 : : : : 7 : GND* : 182 : : : : 7 : GND* : 183 : : : : 7 : GND* : 184 : : : : 7 : GND* : 185 : : : : 7 : USB_PA[7] : 186 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[6] : 187 : bidir : 3.0-V LVTTL : : 7 : Y USB_CTL[0] : 188 : bidir : 3.0-V LVTTL : : 7 : Y USB_SPARE : 189 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 190 : power : : 1.2V : : GND : 191 : gnd : : : : VCCIO7 : 192 : power : : 3.0V : 7 : GND : 193 : gnd : : : : USB_PA[4] : 194 : bidir : 3.0-V LVTTL : : 7 : Y USB__WAKEUP : 195 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[3] : 196 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[0] : 197 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 198 : power : : 1.2V : : GND : 199 : gnd : : : : USB_RDY[1] : 200 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[5] : 201 : bidir : 3.0-V LVTTL : : 7 : Y USB_CTL[2] : 202 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[2] : 203 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 204 : power : : 1.2V : : GND : 205 : gnd : : : : VCCIO7 : 206 : power : : 3.0V : 7 : USB_IFCLK : 207 : bidir : 3.0-V LVTTL : : 7 : Y GND : 208 : gnd : : : : GND+ : 209 : : : : 7 : GND+ : 210 : : : : 7 : GND+ : 211 : : : : 8 : GND+ : 212 : : : : 8 : VCCIO8 : 213 : power : : 3.0V : 8 : USB_PA[1] : 214 : bidir : 3.0-V LVTTL : : 8 : Y GND : 215 : gnd : : : : USB_RDY[0] : 216 : bidir : 3.0-V LVTTL : : 8 : Y USB_CTL[1] : 217 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[6] : 218 : bidir : 3.0-V LVTTL : : 8 : Y debug[4] : 219 : bidir : 3.0-V LVTTL : : 8 : Y VCCINT : 220 : power : : 1.2V : : USB_FD[9] : 221 : bidir : 3.0-V LVTTL : : 8 : Y GND : 222 : gnd : : : : USB_FD[8] : 223 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[15] : 224 : bidir : 3.0-V LVTTL : : 8 : Y VCCIO8 : 225 : power : : 3.0V : 8 : USB_FD[13] : 226 : bidir : 3.0-V LVTTL : : 8 : Y GND : 227 : gnd : : : : VCCINT : 228 : power : : 1.2V : : GND : 229 : gnd : : : : USB_FD[1] : 230 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[12] : 231 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[10] : 232 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[11] : 233 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[5] : 234 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[14] : 235 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[4] : 236 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[7] : 237 : bidir : 3.0-V LVTTL : : 8 : Y debug[3] : 238 : bidir : 3.0-V LVTTL : : 8 : Y DACone[2] : 239 : bidir : 3.0-V LVTTL : : 8 : Y DACone[1] : 240 : bidir : 3.0-V LVTTL : : 8 : Y