-- Copyright (C) 1991-2005 Altera Corporation -- Any megafunction design, and related netlist (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only -- to program PLD devices (but not masked PLD devices) from Altera. Any -- other use of such megafunction design, netlist, support information, -- device programming or simulation file, or any other related documentation -- or information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to the -- intellectual property, including patents, copyrights, trademarks, trade -- secrets, or maskworks, embodied in any such megafunction design, netlist, -- support information, device programming or simulation file, or any other -- related documentation or information provided by Altera or a megafunction -- partner, remains with Altera, the megafunction partner, or their respective -- licensors. No other licenses, including any licenses needed under any third -- party's intellectual property, are provided herein. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.3V -- Bank 2: 3.3V -- Bank 3: 3.3V -- Bank 4: 3.3V -- Bank 5: 3.3V -- Bank 6: 3.3V -- Bank 7: 3.3V -- Bank 8: 3.3V -- Bank 9: 3.3V -- Bank 10: 3.3V -- Bank 11: 3.3V -- Bank 12: 3.3V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. This pin can either be left unconnected or -- connected to GND. Connecting this pin to GND will improve the -- device's immunity to noise. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. --------------------------------------------------------------------------------- Quartus II Version 4.2 Build 178 01/19/2005 Service Pack 1 SJ Full Version CHIP "sigma_delta" ASSIGNED TO AN: EP1S30F780C6 Device Migration List: "EP1S30F780C7, EP1S30F780C8, EP1S40F780C6, EP1S40F780C7, EP1S40F780C8" Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- VCCIO4 : A2 : power : : 3.3V : 4 : GND* : A3 : : : : 4 : GND* : A4 : : : : 4 : GND* : A5 : : : : 4 : GND* : A6 : : : : 4 : GND* : A7 : : : : 4 : GND* : A8 : : : : 4 : GND* : A9 : : : : 4 : GND* : A10 : : : : 4 : GND* : A11 : : : : 4 : VCCIO4 : A12 : power : : 3.3V : 4 : debug[17] : A13 : bidir : LVTTL : : 4 : Y GND : A14 : gnd : : : : GND : A15 : gnd : : : : GND* : A16 : : : : 3 : VCCIO3 : A17 : power : : 3.3V : 3 : GND* : A18 : : : : 3 : GND* : A19 : : : : 3 : GND* : A20 : : : : 3 : GND* : A21 : : : : 3 : GND* : A22 : : : : 3 : GND* : A23 : : : : 3 : GND* : A24 : : : : 3 : fromtapdel[4] : A25 : input : LVTTL : : 3 : Y fromtapdel[5] : A26 : input : LVTTL : : 3 : Y VCCIO3 : A27 : power : : 3.3V : 3 : RDERR[25] : AA1 : input : LVTTL : : 6 : Y _CS[22] : AA2 : output : LVTTL : : 6 : Y RDERR[28] : AA3 : input : LVTTL : : 6 : Y _CS[28] : AA4 : output : LVTTL : : 6 : Y _CS[4] : AA5 : output : LVTTL : : 6 : Y _CS[21] : AA6 : output : LVTTL : : 6 : Y _CS[17] : AA7 : output : LVTTL : : 6 : Y _CS[19] : AA8 : output : LVTTL : : 6 : Y GND* : AA9 : : : : 7 : GND* : AA10 : : : : 7 : SCLK[3] : AA11 : output : LVTTL : : 7 : Y VCCSEL : AA12 : : : : 7 : VCCG_PLL6 : AA13 : power : : 1.5V : 1 : GND* : AA14 : : : : 11 : GND* : AA15 : : : : 11 : GND : AA16 : gnd : : : : SDOUT[4] : AA17 : input : LVTTL : : 8 : Y CNVST[4] : AA18 : output : LVTTL : : 8 : Y CNVST[19] : AA19 : output : LVTTL : : 8 : Y CNVST[14] : AA20 : output : LVTTL : : 8 : Y TBUSIN[2] : AA21 : input : LVTTL : : 1 : Y address[25] : AA22 : input : LVTTL : : 1 : Y _iackout : AA23 : output : LVTTL : : 1 : Y _berrin : AA24 : input : LVTTL : : 1 : Y _ga[3] : AA25 : input : LVTTL : : 1 : Y address[27] : AA26 : input : LVTTL : : 1 : Y am[5] : AA27 : input : LVTTL : : 1 : Y address[16] : AA28 : input : LVTTL : : 1 : Y RDERR[6] : AB1 : input : LVTTL : : 6 : Y RDERR[27] : AB2 : input : LVTTL : : 6 : Y RDERR[15] : AB3 : input : LVTTL : : 6 : Y _CS[30] : AB4 : output : LVTTL : : 6 : Y RDERR[21] : AB5 : input : LVTTL : : 6 : Y _CS[20] : AB6 : output : LVTTL : : 6 : Y SCLK[18] : AB7 : output : LVTTL : : 7 : Y GND* : AB8 : : : : 7 : SCLK[30] : AB9 : output : LVTTL : : 7 : Y BUSY[8] : AB10 : input : LVTTL : : 7 : Y SCLK[2] : AB11 : output : LVTTL : : 7 : Y GND* : AB12 : : : : 7 : nCE : AB13 : : : : 7 : GNDG_PLL6 : AB14 : gnd : : : : MSEL2 : AB15 : : : : 8 : VCC_PLL6_OUTB : AB16 : power : : 3.3V : 12 : SDOUT[28] : AB17 : input : LVTTL : : 8 : Y CNVST[24] : AB18 : output : LVTTL : : 8 : Y CNVST[18] : AB19 : output : LVTTL : : 8 : Y SDOUT[10] : AB20 : input : LVTTL : : 8 : Y CNVST[21] : AB21 : output : LVTTL : : 8 : Y SDOUT[6] : AB22 : input : LVTTL : : 8 : Y GND* : AB23 : : : : 1 : TBUSOUT[0] : AB24 : bidir : LVTTL : : 1 : Y GND* : AB25 : : : : 1 : address[26] : AB26 : input : LVTTL : : 1 : Y _ds[0] : AB27 : input : LVTTL : : 1 : Y address[13] : AB28 : input : LVTTL : : 1 : Y RDERR[12] : AC1 : input : LVTTL : : 6 : Y RDERR[14] : AC2 : input : LVTTL : : 6 : Y NC : AC3 : : : : : NC : AC4 : : : : : SCLK[8] : AC5 : output : LVTTL : : 7 : Y SCLK[13] : AC6 : output : LVTTL : : 7 : Y BUSY[5] : AC7 : input : LVTTL : : 7 : Y BUSY[15] : AC8 : input : LVTTL : : 7 : Y SCLK[27] : AC9 : output : LVTTL : : 7 : Y SCLK[24] : AC10 : output : LVTTL : : 7 : Y SCLK[6] : AC11 : output : LVTTL : : 7 : Y PORSEL : AC12 : : : : 7 : nCEO : AC13 : : : : 7 : VCC_PLL6_OUTA : AC14 : power : : 3.3V : 11 : GND : AC15 : gnd : : : : MSEL0 : AC16 : : : : 8 : sysclk : AC17 : input : LVTTL : : 8 : Y PLL_ENA : AC18 : : : : 8 : SDOUT[22] : AC19 : input : LVTTL : : 8 : Y SDOUT[12] : AC20 : input : LVTTL : : 8 : Y SDOUT[1] : AC21 : input : LVTTL : : 8 : Y SDOUT[5] : AC22 : input : LVTTL : : 8 : Y CNVST[3] : AC23 : output : LVTTL : : 8 : Y CNVST[13] : AC24 : output : LVTTL : : 8 : Y NC : AC25 : : : : : NC : AC26 : : : : : GND* : AC27 : : : : 1 : GND* : AC28 : : : : 1 : RDERR[17] : AD1 : input : LVTTL : : 6 : Y _CS[12] : AD2 : output : LVTTL : : 6 : Y NC : AD3 : : : : : NC : AD4 : : : : : BUSY[12] : AD5 : input : LVTTL : : 7 : Y GND* : AD6 : : : : 7 : GND : AD7 : : : : : BUSY[16] : AD8 : input : LVTTL : : 7 : Y GND : AD9 : : : : : GND* : AD10 : : : : 7 : GND : AD11 : : : : : SCLK[25] : AD12 : output : LVTTL : : 7 : Y SCLK[17] : AD13 : output : LVTTL : : 7 : Y BUSY[21] : AD14 : input : LVTTL : : 7 : Y GND* : AD15 : : : : 11 : SDOUT[30] : AD16 : input : LVTTL : : 8 : Y SDOUT[24] : AD17 : input : LVTTL : : 8 : Y GND* : AD18 : : : : 8 : SDOUT[14] : AD19 : input : LVTTL : : 8 : Y GND : AD20 : : : : : SDOUT[16] : AD21 : input : LVTTL : : 8 : Y GND : AD22 : : : : : CNVST[11] : AD23 : output : LVTTL : : 8 : Y SDOUT[18] : AD24 : input : LVTTL : : 8 : Y NC : AD25 : : : : : NC : AD26 : : : : : TBUSOUT[2] : AD27 : bidir : LVTTL : : 1 : Y GND* : AD28 : : : : 1 : _CS[6] : AE1 : output : LVTTL : : 6 : Y _CS[3] : AE2 : output : LVTTL : : 6 : Y GND : AE3 : : : : : BUSY[26] : AE4 : input : LVTTL : : 7 : Y BUSY[22] : AE5 : input : LVTTL : : 7 : Y GND* : AE6 : : : : 7 : SCLK[10] : AE7 : output : LVTTL : : 7 : Y BUSY[19] : AE8 : input : LVTTL : : 7 : Y BUSY[13] : AE9 : input : LVTTL : : 7 : Y SCLK[0] : AE10 : output : LVTTL : : 7 : Y BUSY[9] : AE11 : input : LVTTL : : 7 : Y SCLK[11] : AE12 : output : LVTTL : : 7 : Y GND* : AE13 : : : : 7 : SCLK[23] : AE14 : output : LVTTL : : 7 : Y GND* : AE15 : : : : 11 : SDOUT[13] : AE16 : input : LVTTL : : 8 : Y SDOUT[7] : AE17 : input : LVTTL : : 8 : Y GND* : AE18 : : : : 8 : CNVST[15] : AE19 : output : LVTTL : : 8 : Y CNVST[2] : AE20 : output : LVTTL : : 8 : Y SDOUT[20] : AE21 : input : LVTTL : : 8 : Y SDOUT[8] : AE22 : input : LVTTL : : 8 : Y GND* : AE23 : : : : 8 : SDOUT[17] : AE24 : input : LVTTL : : 8 : Y SDOUT[25] : AE25 : input : LVTTL : : 8 : Y GND : AE26 : : : : : TBUSIN[0] : AE27 : input : LVTTL : : 1 : Y _iackin : AE28 : input : LVTTL : : 1 : Y RDERR[4] : AF1 : input : LVTTL : : 6 : Y RDERR[20] : AF2 : input : LVTTL : : 6 : Y GND : AF3 : gnd : : : : SCLK[19] : AF4 : output : LVTTL : : 7 : Y SCLK[20] : AF5 : output : LVTTL : : 7 : Y BUSY[31] : AF6 : input : LVTTL : : 7 : Y SCLK[28] : AF7 : output : LVTTL : : 7 : Y BUSY[4] : AF8 : input : LVTTL : : 7 : Y SCLK[31] : AF9 : output : LVTTL : : 7 : Y SCLK[12] : AF10 : output : LVTTL : : 7 : Y BUSY[29] : AF11 : input : LVTTL : : 7 : Y BUSY[20] : AF12 : input : LVTTL : : 7 : Y BUSY[23] : AF13 : input : LVTTL : : 7 : Y GNDA_PLL6 : AF14 : gnd : : : : GND* : AF15 : : : : 12 : CNVST[31] : AF16 : output : LVTTL : : 8 : Y SDOUT[21] : AF17 : input : LVTTL : : 8 : Y SDOUT[9] : AF18 : input : LVTTL : : 8 : Y CNVST[10] : AF19 : output : LVTTL : : 8 : Y CNVST[25] : AF20 : output : LVTTL : : 8 : Y CNVST[5] : AF21 : output : LVTTL : : 8 : Y CNVST[17] : AF22 : output : LVTTL : : 8 : Y GND* : AF23 : : : : 8 : CNVST[7] : AF24 : output : LVTTL : : 8 : Y CNVST[12] : AF25 : output : LVTTL : : 8 : Y GND : AF26 : gnd : : : : TBUSOUT[3] : AF27 : bidir : LVTTL : : 1 : Y TBUSOUT[1] : AF28 : bidir : LVTTL : : 1 : Y VCCIO6 : AG1 : power : : 3.3V : 6 : GND : AG2 : gnd : : : : BUSY[11] : AG3 : input : LVTTL : : 7 : Y SCLK[22] : AG4 : output : LVTTL : : 7 : Y SCLK[5] : AG5 : output : LVTTL : : 7 : Y SCLK[1] : AG6 : output : LVTTL : : 7 : Y GND* : AG7 : : : : 7 : BUSY[25] : AG8 : input : LVTTL : : 7 : Y BUSY[30] : AG9 : input : LVTTL : : 7 : Y SCLK[7] : AG10 : output : LVTTL : : 7 : Y SCLK[29] : AG11 : output : LVTTL : : 7 : Y BUSY[17] : AG12 : input : LVTTL : : 7 : Y SCLK[26] : AG13 : output : LVTTL : : 7 : Y VCCA_PLL6 : AG14 : power : : 1.5V : : GND* : AG15 : : : : 12 : CNVST[27] : AG16 : output : LVTTL : : 8 : Y CNVST[23] : AG17 : output : LVTTL : : 8 : Y SDOUT[23] : AG18 : input : LVTTL : : 8 : Y CNVST[1] : AG19 : output : LVTTL : : 8 : Y CNVST[26] : AG20 : output : LVTTL : : 8 : Y SDOUT[29] : AG21 : input : LVTTL : : 8 : Y SDOUT[11] : AG22 : input : LVTTL : : 8 : Y SDOUT[19] : AG23 : input : LVTTL : : 8 : Y CNVST[0] : AG24 : output : LVTTL : : 8 : Y CNVST[29] : AG25 : output : LVTTL : : 8 : Y SDOUT[15] : AG26 : input : LVTTL : : 8 : Y GND : AG27 : gnd : : : : VCCIO1 : AG28 : power : : 3.3V : 1 : VCCIO7 : AH2 : power : : 3.3V : 7 : BUSY[2] : AH3 : input : LVTTL : : 7 : Y SCLK[15] : AH4 : output : LVTTL : : 7 : Y GND* : AH5 : : : : 7 : SCLK[4] : AH6 : output : LVTTL : : 7 : Y BUSY[14] : AH7 : input : LVTTL : : 7 : Y BUSY[7] : AH8 : input : LVTTL : : 7 : Y SCLK[21] : AH9 : output : LVTTL : : 7 : Y SCLK[9] : AH10 : output : LVTTL : : 7 : Y BUSY[18] : AH11 : input : LVTTL : : 7 : Y VCCIO7 : AH12 : power : : 3.3V : 7 : BUSY[6] : AH13 : input : LVTTL : : 7 : Y GND : AH14 : gnd : : : : GND : AH15 : gnd : : : : CNVST[8] : AH16 : output : LVTTL : : 8 : Y VCCIO8 : AH17 : power : : 3.3V : 8 : GND : AH18 : : : : : CNVST[30] : AH19 : output : LVTTL : : 8 : Y SDOUT[2] : AH20 : input : LVTTL : : 8 : Y SDOUT[3] : AH21 : input : LVTTL : : 8 : Y SDOUT[31] : AH22 : input : LVTTL : : 8 : Y SDOUT[27] : AH23 : input : LVTTL : : 8 : Y CNVST[9] : AH24 : output : LVTTL : : 8 : Y SDOUT[0] : AH25 : input : LVTTL : : 8 : Y CNVST[20] : AH26 : output : LVTTL : : 8 : Y VCCIO8 : AH27 : power : : 3.3V : 8 : VCCIO5 : B1 : power : : 3.3V : 5 : GND : B2 : gnd : : : : GND* : B3 : : : : 4 : debug[19] : B4 : bidir : LVTTL : : 4 : Y GND* : B5 : : : : 4 : debug[10] : B6 : bidir : LVTTL : : 4 : Y GND* : B7 : : : : 4 : debug[11] : B8 : bidir : LVTTL : : 4 : Y debug[14] : B9 : bidir : LVTTL : : 4 : Y GND* : B10 : : : : 4 : GND* : B11 : : : : 4 : GND* : B12 : : : : 4 : GND* : B13 : : : : 4 : TEMPDIODEp : B14 : : : : : GND* : B15 : : : : 10 : GND* : B16 : : : : 3 : GND* : B17 : : : : 3 : GND* : B18 : : : : 3 : GND* : B19 : : : : 3 : GND* : B20 : : : : 3 : GND* : B21 : : : : 3 : GND* : B22 : : : : 3 : GND* : B23 : : : : 3 : GND* : B24 : : : : 3 : GND* : B25 : : : : 3 : GND* : B26 : : : : 3 : GND : B27 : gnd : : : : VCCIO2 : B28 : power : : 3.3V : 2 : GND* : C1 : : : : 5 : GND* : C2 : : : : 5 : GND : C3 : gnd : : : : GND* : C4 : : : : 4 : GND* : C5 : : : : 4 : debug[6] : C6 : bidir : LVTTL : : 4 : Y GND* : C7 : : : : 4 : GND* : C8 : : : : 4 : GND* : C9 : : : : 4 : GND* : C10 : : : : 4 : debug[3] : C11 : bidir : LVTTL : : 4 : Y GND* : C12 : : : : 4 : GND* : C13 : : : : 4 : TEMPDIODEn : C14 : : : : : GND* : C15 : : : : 10 : GND* : C16 : : : : 3 : GND* : C17 : : : : 3 : GND* : C18 : : : : 3 : GND* : C19 : : : : 3 : GND* : C20 : : : : 3 : GND* : C21 : : : : 3 : GND* : C22 : : : : 3 : GND* : C23 : : : : 3 : fromtapdel[1] : C24 : input : LVTTL : : 3 : Y GND* : C25 : : : : 3 : GND : C26 : gnd : : : : GND* : C27 : : : : 2 : GND* : C28 : : : : 2 : GND* : D1 : : : : 5 : GND* : D2 : : : : 5 : NC : D3 : : : : : NC : D4 : : : : : GND* : D5 : : : : 4 : GND* : D6 : : : : 4 : GND* : D7 : : : : 4 : GND* : D8 : : : : 4 : GND* : D9 : : : : 4 : debug[7] : D10 : bidir : LVTTL : : 4 : Y debug[2] : D11 : bidir : LVTTL : : 4 : Y GND* : D12 : : : : 4 : GND* : D13 : : : : 4 : VCCG_PLL5 : D14 : power : : 1.5V : 1 : GND* : D15 : : : : 9 : GND* : D16 : : : : 3 : GND* : D17 : : : : 3 : GND* : D18 : : : : 3 : GND* : D19 : : : : 3 : GND* : D20 : : : : 3 : led_1 : D21 : output : LVTTL : : 3 : Y fromtapdel[3] : D22 : input : LVTTL : : 3 : Y GND* : D23 : : : : 3 : GND* : D24 : : : : 3 : NC : D25 : : : : : NC : D26 : : : : : GND* : D27 : : : : 2 : GND* : D28 : : : : 2 : GND* : E1 : : : : 5 : GND* : E2 : : : : 5 : NC : E3 : : : : : NC : E4 : : : : : GND : E5 : : : : : GND* : E6 : : : : 4 : GND : E7 : : : : : GND* : E8 : : : : 4 : GND : E9 : : : : : GND* : E10 : : : : 4 : GND : E11 : : : : : debug[13] : E12 : bidir : LVTTL : : 4 : Y GND* : E13 : : : : 4 : GNDG_PLL5 : E14 : gnd : : : : GND* : E15 : : : : 9 : GND* : E16 : : : : 3 : GND* : E17 : : : : 3 : GND : E18 : : : : : GND* : E19 : : : : 3 : GND : E20 : : : : : GND* : E21 : : : : 3 : GND : E22 : : : : : GND* : E23 : : : : 3 : GND : E24 : : : : : NC : E25 : : : : : NC : E26 : : : : : GND* : E27 : : : : 2 : GND* : E28 : : : : 2 : GND* : F1 : : : : 5 : GND* : F2 : : : : 5 : GND* : F3 : : : : 5 : DigOut2[0] : F4 : output : LVTTL : : 5 : Y DigOut1[25] : F5 : output : LVTTL : : 5 : Y DigOut1[14] : F6 : output : LVTTL : : 5 : Y GND* : F7 : : : : 4 : GND* : F8 : : : : 4 : debug[8] : F9 : bidir : LVTTL : : 4 : Y GND* : F10 : : : : 4 : GND* : F11 : : : : 4 : debug[16] : F12 : bidir : LVTTL : : 4 : Y TMS : F13 : input : : : 4 : VCCA_PLL5 : F14 : power : : 1.5V : : VCC_PLL5_OUTA : F15 : power : : 3.3V : 9 : DCLK : F16 : : : : 3 : DigIn[0] : F17 : input : LVTTL : : 3 : Y GND* : F18 : : : : 3 : GND* : F19 : : : : 3 : GND* : F20 : : : : 3 : GND* : F21 : : : : 3 : GND* : F22 : : : : 3 : GND* : F23 : : : : 2 : GND* : F24 : : : : 2 : GND* : F25 : : : : 2 : GND* : F26 : : : : 2 : GND* : F27 : : : : 2 : GND* : F28 : : : : 2 : DigOut1[3] : G1 : output : LVTTL : : 5 : Y DigOut1[1] : G2 : output : LVTTL : : 5 : Y GND* : G3 : : : : 5 : DigOut1[27] : G4 : output : LVTTL : : 5 : Y DigOut2[5] : G5 : output : LVTTL : : 5 : Y DigOut1[30] : G6 : output : LVTTL : : 5 : Y GND* : G7 : : : : 4 : GND* : G8 : : : : 4 : GND* : G9 : : : : 4 : GND* : G10 : : : : 4 : GND* : G11 : : : : 4 : GND* : G12 : : : : 4 : TDI : G13 : input : : : 4 : GNDA_PLL5 : G14 : gnd : : : : GND : G15 : gnd : : : : VCC_PLL5_OUTB : G16 : power : : 3.3V : 10 : CONF_DONE : G17 : : : : 3 : GND* : G18 : : : : 3 : GND* : G19 : : : : 3 : GND : G20 : : : : : totapdel : G21 : output : LVTTL : : 3 : Y GND* : G22 : : : : 3 : GND* : G23 : : : : 2 : GND* : G24 : : : : 2 : GND* : G25 : : : : 2 : GND* : G26 : : : : 2 : GND* : G27 : : : : 2 : GND* : G28 : : : : 2 : GND* : H1 : : : : 5 : DigOut1[15] : H2 : output : LVTTL : : 5 : Y GND* : H3 : : : : 5 : GND* : H4 : : : : 5 : GND* : H5 : : : : 5 : DigOut1[17] : H6 : output : LVTTL : : 5 : Y DigOut1[22] : H7 : output : LVTTL : : 5 : Y GND* : H8 : : : : 5 : debug[5] : H9 : bidir : LVTTL : : 4 : Y debug[9] : H10 : bidir : LVTTL : : 4 : Y debug[15] : H11 : bidir : LVTTL : : 4 : Y ~DATA0~ / RESERVED_INPUT : H12 : input : LVTTL : : 4 : Y TDO : H13 : output : : : 4 : GND* : H14 : : : : 9 : GND* : H15 : : : : 9 : GND : H16 : gnd : : : : GND* : H17 : : : : 3 : GND* : H18 : : : : 3 : GND* : H19 : : : : 3 : GND* : H20 : : : : 3 : GND* : H21 : : : : 2 : GND* : H22 : : : : 2 : GND* : H23 : : : : 2 : GND* : H24 : : : : 2 : GND* : H25 : : : : 2 : GND* : H26 : : : : 2 : GND* : H27 : : : : 2 : GND* : H28 : : : : 2 : DigOut1[0] : J1 : output : LVTTL : : 5 : Y DigIn[2] : J2 : input : LVTTL : : 5 : Y DigOut1[12] : J3 : output : LVTTL : : 5 : Y GND* : J4 : : : : 5 : GND* : J5 : : : : 5 : DigOut1[5] : J6 : output : LVTTL : : 5 : Y DigOut2[4] : J7 : output : LVTTL : : 5 : Y DigOut1[2] : J8 : output : LVTTL : : 5 : Y debug[12] : J9 : bidir : LVTTL : : 4 : Y GND* : J10 : : : : 4 : GND* : J11 : : : : 4 : GND* : J12 : : : : 4 : GND* : J13 : : : : 4 : VCCIO4 : J14 : power : : 3.3V : 4 : VCCIO3 : J15 : power : : 3.3V : 3 : GND* : J16 : : : : 10 : fromtapdel[2] : J17 : input : LVTTL : : 3 : Y GND* : J18 : : : : 3 : GND* : J19 : : : : 3 : GND* : J20 : : : : 3 : transceivers_OE : J21 : output : LVTTL : : 2 : Y GND* : J22 : : : : 2 : GND* : J23 : : : : 2 : GND* : J24 : : : : 2 : vme_data[26] : J25 : bidir : LVTTL : : 2 : Y vme_data[9] : J26 : bidir : LVTTL : : 2 : Y vme_data[31] : J27 : bidir : LVTTL : : 2 : Y vme_data[4] : J28 : bidir : LVTTL : : 2 : Y DigOut1[29] : K1 : output : LVTTL : : 5 : Y GND* : K2 : : : : 5 : DigOut1[6] : K3 : output : LVTTL : : 5 : Y DigOut1[23] : K4 : output : LVTTL : : 5 : Y DigOut1[10] : K5 : output : LVTTL : : 5 : Y GND* : K6 : : : : 5 : DigOut1[26] : K7 : output : LVTTL : : 5 : Y DigOut1[9] : K8 : output : LVTTL : : 5 : Y GND : K9 : : : : : GND* : K10 : : : : 4 : GND* : K11 : : : : 4 : TCK : K12 : input : : : 4 : GND+ : K13 : : : : 4 : GND* : K14 : : : : 9 : GND* : K15 : : : : 9 : led_2 : K16 : output : LVTTL : : 10 : Y clkin : K17 : input : LVTTL : : 3 : Y GND* : K18 : : : : 3 : GND* : K19 : : : : 3 : GND : K20 : : : : : GND* : K21 : : : : 2 : GND* : K22 : : : : 2 : GND* : K23 : : : : 2 : GND* : K24 : : : : 2 : vme_data[27] : K25 : bidir : LVTTL : : 2 : Y vme_data[19] : K26 : bidir : LVTTL : : 2 : Y vme_data[22] : K27 : bidir : LVTTL : : 2 : Y vme_data[13] : K28 : bidir : LVTTL : : 2 : Y DigOut1[11] : L1 : output : LVTTL : : 5 : Y DigOut2[6] : L2 : output : LVTTL : : 5 : Y GND* : L3 : : : : 5 : DigOut2[2] : L4 : output : LVTTL : : 5 : Y GND* : L5 : : : : 5 : DigOut1[18] : L6 : output : LVTTL : : 5 : Y GND* : L7 : : : : 5 : GND* : L8 : : : : 5 : DigOut1[28] : L9 : output : LVTTL : : 5 : Y GND* : L10 : : : : 5 : GND* : L11 : : : : 4 : TRST : L12 : input : : : 4 : debug[4] : L13 : bidir : LVTTL : : 4 : Y GND : L14 : gnd : : : : GND : L15 : gnd : : : : nCONFIG : L16 : : : : 3 : GND* : L17 : : : : 3 : GND* : L18 : : : : 3 : GND* : L19 : : : : 2 : vme_data[24] : L20 : bidir : LVTTL : : 2 : Y GND* : L21 : : : : 2 : GND* : L22 : : : : 2 : GND* : L23 : : : : 2 : GND* : L24 : : : : 2 : vme_data[12] : L25 : bidir : LVTTL : : 2 : Y vme_data[23] : L26 : bidir : LVTTL : : 2 : Y vme_data[28] : L27 : bidir : LVTTL : : 2 : Y vme_data[5] : L28 : bidir : LVTTL : : 2 : Y VCCIO5 : M1 : power : : 3.3V : 5 : DigOut1[21] : M2 : output : LVTTL : : 5 : Y DigOut1[8] : M3 : output : LVTTL : : 5 : Y DigOut2[3] : M4 : output : LVTTL : : 5 : Y DigOut1[7] : M5 : output : LVTTL : : 5 : Y DigOut1[20] : M6 : output : LVTTL : : 5 : Y DigOut2[1] : M7 : output : LVTTL : : 5 : Y DigOut1[16] : M8 : output : LVTTL : : 5 : Y DigOut1[19] : M9 : output : LVTTL : : 5 : Y GND* : M10 : : : : 5 : led_0 : M11 : output : LVTTL : : 4 : Y debug[18] : M12 : bidir : LVTTL : : 4 : Y GND+ : M13 : : : : 4 : VCCINT : M14 : power : : 1.5V : : GND : M15 : gnd : : : : nSTATUS : M16 : : : : 3 : DigIn[1] : M17 : input : LVTTL : : 3 : Y GND* : M18 : : : : 3 : vme_data[3] : M19 : bidir : LVTTL : : 2 : Y vme_data[16] : M20 : bidir : LVTTL : : 2 : Y vme_data[8] : M21 : bidir : LVTTL : : 2 : Y vme_data[6] : M22 : bidir : LVTTL : : 2 : Y vme_data[11] : M23 : bidir : LVTTL : : 2 : Y vme_data[30] : M24 : bidir : LVTTL : : 2 : Y vme_data[29] : M25 : bidir : LVTTL : : 2 : Y vme_data[18] : M26 : bidir : LVTTL : : 2 : Y vme_data[20] : M27 : bidir : LVTTL : : 2 : Y VCCIO2 : M28 : power : : 3.3V : 2 : GND* : N1 : : : : 5 : GND+ : N2 : : : : 5 : DigOut1[4] : N3 : output : LVTTL : : 5 : Y GND* : N4 : : : : 5 : am[2] : N5 : input : LVTTL : : 5 : Y DigIn[3] : N6 : input : LVTTL : : 5 : Y GND* : N7 : : : : 5 : DigOut1[13] : N8 : output : LVTTL : : 5 : Y DigOut1[31] : N9 : output : LVTTL : : 5 : Y DigOut1[24] : N10 : output : LVTTL : : 5 : Y VCCINT : N11 : power : : 1.5V : : GND : N12 : gnd : : : : VCCINT : N13 : power : : 1.5V : : GND : N14 : gnd : : : : VCCINT : N15 : power : : 1.5V : : GND : N16 : gnd : : : : VCCINT : N17 : power : : 1.5V : : GND : N18 : gnd : : : : vme_data[7] : N19 : bidir : LVTTL : : 2 : Y vme_data[21] : N20 : bidir : LVTTL : : 2 : Y vme_data[1] : N21 : bidir : LVTTL : : 2 : Y vme_data[10] : N22 : bidir : LVTTL : : 2 : Y vme_data[14] : N23 : bidir : LVTTL : : 2 : Y vme_data[2] : N24 : bidir : LVTTL : : 2 : Y vme_data[25] : N25 : bidir : LVTTL : : 2 : Y vme_data[15] : N26 : bidir : LVTTL : : 2 : Y GND+ : N27 : : : : 2 : vme_data[17] : N28 : bidir : LVTTL : : 2 : Y GND : P1 : gnd : : : : GND+ : P2 : : : : 5 : DigOut2[7] : P3 : output : LVTTL : : 5 : Y GND+ : P4 : : : : 5 : GNDA_PLL4 : P5 : gnd : : : : VCCA_PLL4 : P6 : power : : 1.5V : : GNDG_PLL4 : P7 : gnd : : : : VCCG_PLL4 : P8 : power : : 1.5V : 1 : VCCIO5 : P9 : power : : 3.3V : 5 : GND : P10 : : : : : GND : P11 : gnd : : : : VCCINT : P12 : power : : 1.5V : : GND : P13 : gnd : : : : VCCINT : P14 : power : : 1.5V : : GND : P15 : gnd : : : : VCCINT : P16 : power : : 1.5V : : GND : P17 : gnd : : : : GND : P18 : gnd : : : : GND : P19 : : : : : VCCIO2 : P20 : power : : 3.3V : 2 : VCCG_PLL1 : P21 : power : : 1.5V : 1 : GNDG_PLL1 : P22 : gnd : : : : VCCA_PLL1 : P23 : power : : 1.5V : : GNDA_PLL1 : P24 : gnd : : : : GND+ : P25 : : : : 2 : vme_data[0] : P26 : bidir : LVTTL : : 2 : Y GND+ : P27 : : : : 2 : GND : P28 : gnd : : : : GND : R1 : gnd : : : : GND+ : R2 : : : : 6 : GND* : R3 : : : : 6 : GND+ : R4 : : : : 6 : GNDA_PLL3 : R5 : gnd : : : : VCCA_PLL3 : R6 : power : : 1.5V : : GNDG_PLL3 : R7 : gnd : : : : VCCG_PLL3 : R8 : power : : 1.5V : 1 : VCCIO6 : R9 : power : : 3.3V : 6 : GND : R10 : : : : : GND : R11 : gnd : : : : GND : R12 : gnd : : : : VCCINT : R13 : power : : 1.5V : : GND : R14 : gnd : : : : VCCINT : R15 : power : : 1.5V : : GND : R16 : gnd : : : : VCCINT : R17 : power : : 1.5V : : GND : R18 : gnd : : : : GND : R19 : : : : : VCCIO1 : R20 : power : : 3.3V : 1 : VCCG_PLL2 : R21 : power : : 1.5V : 1 : GNDG_PLL2 : R22 : gnd : : : : VCCA_PLL2 : R23 : power : : 1.5V : : GNDA_PLL2 : R24 : gnd : : : : _delayed_ds : R25 : input : LVTTL : : 1 : Y _vme_write : R26 : input : LVTTL : : 1 : Y address[20] : R27 : input : LVTTL : : 1 : Y GND : R28 : gnd : : : : RDERR[1] : T1 : input : LVTTL : : 6 : Y BUSY[0] : T2 : input : LVTTL : : 6 : Y _CS[0] : T3 : output : LVTTL : : 6 : Y RDERR[0] : T4 : input : LVTTL : : 6 : Y RDERR[9] : T5 : input : LVTTL : : 6 : Y RDERR[10] : T6 : input : LVTTL : : 6 : Y GND* : T7 : : : : 6 : _CS[11] : T8 : output : LVTTL : : 6 : Y _CS[10] : T9 : output : LVTTL : : 6 : Y _CS[7] : T10 : output : LVTTL : : 6 : Y GND : T11 : gnd : : : : VCCINT : T12 : power : : 1.5V : : GND : T13 : gnd : : : : VCCINT : T14 : power : : 1.5V : : GND : T15 : gnd : : : : VCCINT : T16 : power : : 1.5V : : GND : T17 : gnd : : : : VCCINT : T18 : power : : 1.5V : : address[18] : T19 : input : LVTTL : : 1 : Y address[15] : T20 : input : LVTTL : : 1 : Y dir_trans : T21 : output : LVTTL : : 1 : Y address[21] : T22 : input : LVTTL : : 1 : Y _berr : T23 : output : LVTTL : : 1 : Y _vme_data_str : T24 : output : LVTTL : : 1 : Y address[14] : T25 : input : LVTTL : : 1 : Y _delayed_modsel : T26 : input : LVTTL : : 1 : Y address[22] : T27 : input : LVTTL : : 1 : Y address[2] : T28 : input : LVTTL : : 1 : Y VCCIO6 : U1 : power : : 3.3V : 6 : _CS[1] : U2 : output : LVTTL : : 6 : Y RDERR[19] : U3 : input : LVTTL : : 6 : Y _CS[8] : U4 : output : LVTTL : : 6 : Y _CS[13] : U5 : output : LVTTL : : 6 : Y _CS[2] : U6 : output : LVTTL : : 6 : Y _CS[14] : U7 : output : LVTTL : : 6 : Y RDERR[16] : U8 : input : LVTTL : : 6 : Y _CS[23] : U9 : output : LVTTL : : 6 : Y RDERR[5] : U10 : input : LVTTL : : 6 : Y VCCINT : U11 : power : : 1.5V : : GND : U12 : gnd : : : : VCCINT : U13 : power : : 1.5V : : GND : U14 : gnd : : : : VCCINT : U15 : power : : 1.5V : : GND : U16 : gnd : : : : VCCINT : U17 : power : : 1.5V : : GND : U18 : gnd : : : : am[4] : U19 : input : LVTTL : : 1 : Y _ds[1] : U20 : input : LVTTL : : 1 : Y address[11] : U21 : input : LVTTL : : 1 : Y address[29] : U22 : input : LVTTL : : 1 : Y address[4] : U23 : input : LVTTL : : 1 : Y _lword : U24 : input : LVTTL : : 1 : Y address[23] : U25 : input : LVTTL : : 1 : Y address[7] : U26 : input : LVTTL : : 1 : Y _dtack : U27 : output : LVTTL : : 1 : Y VCCIO1 : U28 : power : : 3.3V : 1 : RDERR[8] : V1 : input : LVTTL : : 6 : Y RDERR[11] : V2 : input : LVTTL : : 6 : Y _CS[9] : V3 : output : LVTTL : : 6 : Y RDERR[7] : V4 : input : LVTTL : : 6 : Y RDERR[22] : V5 : input : LVTTL : : 6 : Y RDERR[24] : V6 : input : LVTTL : : 6 : Y RDERR[30] : V7 : input : LVTTL : : 6 : Y RDERR[31] : V8 : input : LVTTL : : 6 : Y _CS[26] : V9 : output : LVTTL : : 6 : Y _CS[25] : V10 : output : LVTTL : : 6 : Y SCLK[16] : V11 : output : LVTTL : : 7 : Y VCCINT : V12 : power : : 1.5V : : GND : V13 : gnd : : : : GND : V14 : gnd : : : : GND : V15 : gnd : : : : VCCINT : V16 : power : : 1.5V : : GND : V17 : gnd : : : : CNVST[22] : V18 : output : LVTTL : : 8 : Y address[6] : V19 : input : LVTTL : : 1 : Y _ga[2] : V20 : input : LVTTL : : 1 : Y address[28] : V21 : input : LVTTL : : 1 : Y address[5] : V22 : input : LVTTL : : 1 : Y address[8] : V23 : input : LVTTL : : 1 : Y address[12] : V24 : input : LVTTL : : 1 : Y address[19] : V25 : input : LVTTL : : 1 : Y am[3] : V26 : input : LVTTL : : 1 : Y _as : V27 : input : LVTTL : : 1 : Y _iack : V28 : input : LVTTL : : 1 : Y BUSY[10] : W1 : input : LVTTL : : 6 : Y _CS[27] : W2 : output : LVTTL : : 6 : Y RDERR[26] : W3 : input : LVTTL : : 6 : Y RDERR[23] : W4 : input : LVTTL : : 6 : Y _CS[31] : W5 : output : LVTTL : : 6 : Y RDERR[18] : W6 : input : LVTTL : : 6 : Y RDERR[29] : W7 : input : LVTTL : : 6 : Y RDERR[3] : W8 : input : LVTTL : : 6 : Y GND : W9 : : : : : GND* : W10 : : : : 7 : BUSY[28] : W11 : input : LVTTL : : 7 : Y BUSY[27] : W12 : input : LVTTL : : 7 : Y GND+ : W13 : : : : 7 : GND* : W14 : : : : 11 : GND* : W15 : : : : 11 : GND* : W16 : : : : 12 : MSEL1 : W17 : : : : 8 : SDOUT[26] : W18 : input : LVTTL : : 8 : Y GND* : W19 : : : : 8 : GND : W20 : : : : : _ga[1] : W21 : input : LVTTL : : 1 : Y TBUSIN[3] : W22 : input : LVTTL : : 1 : Y address[30] : W23 : input : LVTTL : : 1 : Y _ga[0] : W24 : input : LVTTL : : 1 : Y address[17] : W25 : input : LVTTL : : 1 : Y address[3] : W26 : input : LVTTL : : 1 : Y address[9] : W27 : input : LVTTL : : 1 : Y am[0] : W28 : input : LVTTL : : 1 : Y _CS[24] : Y1 : output : LVTTL : : 6 : Y _CS[16] : Y2 : output : LVTTL : : 6 : Y RDERR[2] : Y3 : input : LVTTL : : 6 : Y _CS[29] : Y4 : output : LVTTL : : 6 : Y _CS[18] : Y5 : output : LVTTL : : 6 : Y _CS[5] : Y6 : output : LVTTL : : 6 : Y RDERR[13] : Y7 : input : LVTTL : : 6 : Y _CS[15] : Y8 : output : LVTTL : : 6 : Y BUSY[1] : Y9 : input : LVTTL : : 7 : Y BUSY[24] : Y10 : input : LVTTL : : 7 : Y BUSY[3] : Y11 : input : LVTTL : : 7 : Y nIO_PULLUP : Y12 : : : : 7 : SCLK[14] : Y13 : output : LVTTL : : 7 : Y VCCIO7 : Y14 : power : : 3.3V : 7 : VCCIO8 : Y15 : power : : 3.3V : 8 : GND* : Y16 : : : : 12 : am[1] : Y17 : input : LVTTL : : 8 : Y CNVST[6] : Y18 : output : LVTTL : : 8 : Y CNVST[28] : Y19 : output : LVTTL : : 8 : Y CNVST[16] : Y20 : output : LVTTL : : 8 : Y GND* : Y21 : : : : 1 : TBUSIN[1] : Y22 : input : LVTTL : : 1 : Y GND* : Y23 : : : : 1 : address[24] : Y24 : input : LVTTL : : 1 : Y _ga[4] : Y25 : input : LVTTL : : 1 : Y address[10] : Y26 : input : LVTTL : : 1 : Y address[31] : Y27 : input : LVTTL : : 1 : Y _modsel : Y28 : output : LVTTL : : 1 : Y