-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.0V -- Bank 2: 1.2V -- Bank 3: 1.2V -- Bank 4: 1.2V -- Bank 5: 3.0V -- Bank 6: 3.0V -- Bank 7: 3.0V -- Bank 8: 3.0V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), -- connect each pin marked GND* either individually through a 10k Ohm resistor -- to GND or tie all pins together and connect through a single 10k Ohm resistor -- to GND. -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Full Version CHIP "sigma_delta" ASSIGNED TO AN: EP3C25Q240C8 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- VCCD_PLL3 : 1 : power : : 1.2V : : GNDA3 : 2 : gnd : : : : VCCA3 : 3 : power : : 2.5V : : GND* : 4 : : : : 1 : GND* : 5 : : : : 1 : GND* : 6 : : : : 1 : VCCIO1 : 7 : power : : 3.0V : 1 : GND : 8 : gnd : : : : led_0 : 9 : output : 3.0-V LVTTL : : 1 : Y VCCINT : 10 : power : : 1.2V : : GND : 11 : gnd : : : : ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 12 : input : 3.0-V LVTTL : : 1 : Y GND* : 13 : : : : 1 : ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 14 : input : 3.0-V LVTTL : : 1 : Y VCCIO1 : 15 : power : : 3.0V : 1 : GND : 16 : gnd : : : : nSTATUS : 17 : : : : 1 : Ext_Trg_In : 18 : input : 3.0-V LVTTL : : 1 : Y VCCINT : 19 : power : : 1.2V : : GND : 20 : gnd : : : : led_2 : 21 : output : 3.0-V LVTTL : : 1 : Y led_1 : 22 : output : 3.0-V LVTTL : : 1 : Y ~ALTERA_DCLK~ : 23 : output : 3.0-V LVTTL : : 1 : Y ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 24 : input : 3.0-V LVTTL : : 1 : Y nCONFIG : 25 : : : : 1 : TDI : 26 : input : : : 1 : TCK : 27 : input : : : 1 : TMS : 28 : input : : : 1 : TDO : 29 : output : : : 1 : nCE : 30 : : : : 1 : master_clock : 31 : input : 3.0-V LVTTL : : 1 : Y USB_CLKOUT : 32 : input : 3.0-V LVTTL : : 1 : Y VDLout : 33 : input : 1.2 V : : 2 : Y TOKout[2] : 34 : input : 1.2 V : : 2 : Y VCCIO2 : 35 : power : : 1.2V : 2 : GND : 36 : gnd : : : : GND* : 37 : : : : 2 : GND* : 38 : : : : 2 : GND* : 39 : : : : 2 : VCCINT : 40 : power : : 1.2V : : GND* : 41 : : : : 2 : GND : 42 : gnd : : : : chanROmonitor : 43 : input : 1.2 V : : 2 : Y TOKout[1] : 44 : input : 1.2 V : : 2 : Y GND* : 45 : : : : 2 : GND* : 46 : : : : 2 : VCCIO2 : 47 : power : : 1.2V : 2 : GND : 48 : gnd : : : : SWfixed_obsv : 49 : input : 1.2 V : : 2 : Y CLEARglob[1] : 50 : output : 1.2 V : : 2 : Y RDclk2 : 51 : output : 1.2 V : : 2 : Y TRIG_sign : 52 : output : 1.2 V : : 2 : Y VCCINT : 53 : power : : 1.2V : : GND : 54 : gnd : : : : TOKin[1] : 55 : output : 1.2 V : : 2 : Y TOKin[2] : 56 : output : 1.2 V : : 2 : Y CLEARglob[0] : 57 : output : 1.2 V : : 2 : Y VCCA1 : 58 : power : : 2.5V : : GNDA1 : 59 : gnd : : : : VCCD_PLL1 : 60 : power : : 1.2V : : VCCINT : 61 : power : : 1.2V : : GND : 62 : gnd : : : : Rd[2] : 63 : output : 1.2 V : : 3 : Y TOKtime_sel : 64 : output : 1.2 V : : 3 : Y TRIG_ext : 65 : output : 1.2 V : : 3 : Y VCCIO3 : 66 : power : : 1.2V : 3 : GND : 67 : gnd : : : : Rd[1] : 68 : output : 1.2 V : : 3 : Y Mclk : 69 : output : 1.2 V : : 3 : Y RAMP : 70 : output : 1.2 V : : 3 : Y Rd[4] : 71 : output : 1.2 V : : 3 : Y ANALOGout_sel : 72 : output : 1.2 V : : 3 : Y TRIG_mode : 73 : output : 1.2 V : : 3 : Y VCCINT : 74 : power : : 1.2V : : GND : 75 : gnd : : : : Rd[0] : 76 : output : 1.2 V : : 3 : Y VCCIO3 : 77 : power : : 1.2V : 3 : Rd[3] : 78 : output : 1.2 V : : 3 : Y GND : 79 : gnd : : : : SWvar_obsv : 80 : input : 1.2 V : : 3 : Y TRIGout[3] : 81 : input : 1.2 V : : 3 : Y TRIGdelay_out : 82 : input : 1.2 V : : 3 : Y TRIGout[2] : 83 : input : 1.2 V : : 3 : Y TRIGout[1] : 84 : input : 1.2 V : : 3 : Y VCCINT : 85 : power : : 1.2V : : GND : 86 : gnd : : : : CHAN5_sel : 87 : output : 1.2 V : : 3 : Y TRIGout[0] : 88 : input : 1.2 V : : 3 : Y GND+ : 89 : : : : 3 : GND+ : 90 : : : : 3 : D[11] : 91 : input : 1.2 V : : 4 : Y D[10] : 92 : input : 1.2 V : : 4 : Y TOKblock_select[0] : 93 : output : 1.2 V : : 4 : Y CHAN_select[0] : 94 : output : 1.2 V : : 4 : Y TOKblock_select[1] : 95 : output : 1.2 V : : 4 : Y VCCIO4 : 96 : power : : 1.2V : 4 : GND : 97 : gnd : : : : CHAN_select[1] : 98 : output : 1.2 V : : 4 : Y D[9] : 99 : input : 1.2 V : : 4 : Y D[5] : 100 : input : 1.2 V : : 4 : Y VCCINT : 101 : power : : 1.2V : : GND : 102 : gnd : : : : D[8] : 103 : input : 1.2 V : : 4 : Y VCCIO4 : 104 : power : : 1.2V : 4 : GND : 105 : gnd : : : : D[0] : 106 : input : 1.2 V : : 4 : Y GND* : 107 : : : : 4 : D[2] : 108 : input : 1.2 V : : 4 : Y D[4] : 109 : input : 1.2 V : : 4 : Y D[7] : 110 : input : 1.2 V : : 4 : Y GND* : 111 : : : : 4 : GND* : 112 : : : : 4 : GND* : 113 : : : : 4 : D[3] : 114 : input : 1.2 V : : 4 : Y VCCINT : 115 : power : : 1.2V : : GND : 116 : gnd : : : : RDclk1 : 117 : output : 1.2 V : : 4 : Y D[6] : 118 : input : 1.2 V : : 4 : Y D[1] : 119 : input : 1.2 V : : 4 : Y ROmonitor : 120 : input : 1.2 V : : 4 : Y VCCD_PLL4 : 121 : power : : 1.2V : : GNDA4 : 122 : gnd : : : : VCCA4 : 123 : power : : 2.5V : : VCCIO5 : 124 : power : : 3.0V : 5 : GND : 125 : gnd : : : : DACtwo[1] : 126 : bidir : 3.0-V LVTTL : : 5 : Y DACtwo[3] : 127 : bidir : 3.0-V LVTTL : : 5 : Y GND* : 128 : : : : 5 : VCCINT : 129 : power : : 1.2V : : GND : 130 : gnd : : : : debug[7] : 131 : bidir : 3.0-V LVTTL : : 5 : Y DACtwo[0] : 132 : bidir : 3.0-V LVTTL : : 5 : Y debug[8] : 133 : bidir : 3.0-V LVTTL : : 5 : Y debug[10] : 134 : bidir : 3.0-V LVTTL : : 5 : Y debug[14] : 135 : bidir : 3.0-V LVTTL : : 5 : Y VCCIO5 : 136 : power : : 3.0V : 5 : debug[16] : 137 : bidir : 3.0-V LVTTL : : 5 : Y GND : 138 : gnd : : : : debug[9] : 139 : bidir : 3.0-V LVTTL : : 5 : Y VCCINT : 140 : power : : 1.2V : : GND : 141 : gnd : : : : DACtwo[2] : 142 : bidir : 3.0-V LVTTL : : 5 : Y debug[15] : 143 : bidir : 3.0-V LVTTL : : 5 : Y debug[17] : 144 : bidir : 3.0-V LVTTL : : 5 : Y debug[12] : 145 : bidir : 3.0-V LVTTL : : 5 : Y debug[19] : 146 : bidir : 3.0-V LVTTL : : 5 : Y debug[18] : 147 : bidir : 3.0-V LVTTL : : 5 : Y debug[13] : 148 : bidir : 3.0-V LVTTL : : 5 : Y GND+ : 149 : : : : 5 : GND+ : 150 : : : : 5 : GND+ : 151 : : : : 6 : GND+ : 152 : : : : 6 : CONF_DONE : 153 : : : : 6 : VCCIO6 : 154 : power : : 3.0V : 6 : MSEL0 : 155 : : : : 6 : GND : 156 : gnd : : : : MSEL1 : 157 : : : : 6 : MSEL2 : 158 : : : : 6 : debug[11] : 159 : bidir : 3.0-V LVTTL : : 6 : Y debug[2] : 160 : bidir : 3.0-V LVTTL : : 6 : Y DACtwo[4] : 161 : bidir : 3.0-V LVTTL : : 6 : Y ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 162 : output : 3.0-V LVTTL : : 6 : Y VCCINT : 163 : power : : 1.2V : : debug[6] : 164 : bidir : 3.0-V LVTTL : : 6 : Y GND : 165 : gnd : : : : DACone[4] : 166 : bidir : 3.0-V LVTTL : : 6 : Y debug[5] : 167 : bidir : 3.0-V LVTTL : : 6 : Y DACone[3] : 168 : bidir : 3.0-V LVTTL : : 6 : Y DACone[0] : 169 : bidir : 3.0-V LVTTL : : 6 : Y VCCIO6 : 170 : power : : 3.0V : 6 : DACone[1] : 171 : bidir : 3.0-V LVTTL : : 6 : Y GND : 172 : gnd : : : : DACone[2] : 173 : bidir : 3.0-V LVTTL : : 6 : Y VCCINT : 174 : power : : 1.2V : : GND : 175 : gnd : : : : USB_FD[2] : 176 : bidir : 3.0-V LVTTL : : 6 : Y USB_FD[3] : 177 : bidir : 3.0-V LVTTL : : 6 : Y VCCA2 : 178 : power : : 2.5V : : GNDA2 : 179 : gnd : : : : VCCD_PLL2 : 180 : power : : 1.2V : : USB_FD[4] : 181 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[7] : 182 : bidir : 3.0-V LVTTL : : 7 : Y debug[3] : 183 : bidir : 3.0-V LVTTL : : 7 : Y debug[4] : 184 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[11] : 185 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[8] : 186 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[14] : 187 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[5] : 188 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[6] : 189 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 190 : power : : 1.2V : : GND : 191 : gnd : : : : VCCIO7 : 192 : power : : 3.0V : 7 : GND : 193 : gnd : : : : USB_FD[15] : 194 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[13] : 195 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[12] : 196 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[9] : 197 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 198 : power : : 1.2V : : GND : 199 : gnd : : : : USB_FD[10] : 200 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[0] : 201 : bidir : 3.0-V LVTTL : : 7 : Y USB_CTL[2] : 202 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[1] : 203 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 204 : power : : 1.2V : : GND : 205 : gnd : : : : VCCIO7 : 206 : power : : 3.0V : 7 : USB_RDY[1] : 207 : bidir : 3.0-V LVTTL : : 7 : Y GND : 208 : gnd : : : : GND+ : 209 : : : : 7 : GND+ : 210 : : : : 7 : GND+ : 211 : : : : 8 : GND+ : 212 : : : : 8 : VCCIO8 : 213 : power : : 3.0V : 8 : USB_PA[7] : 214 : bidir : 3.0-V LVTTL : : 8 : Y GND : 215 : gnd : : : : USB__WAKEUP : 216 : bidir : 3.0-V LVTTL : : 8 : Y USB_PA[5] : 217 : bidir : 3.0-V LVTTL : : 8 : Y USB_PA[1] : 218 : bidir : 3.0-V LVTTL : : 8 : Y USB_PA[2] : 219 : bidir : 3.0-V LVTTL : : 8 : Y VCCINT : 220 : power : : 1.2V : : USB_PA[0] : 221 : bidir : 3.0-V LVTTL : : 8 : Y GND : 222 : gnd : : : : USB_PA[3] : 223 : bidir : 3.0-V LVTTL : : 8 : Y USB_PA[4] : 224 : bidir : 3.0-V LVTTL : : 8 : Y VCCIO8 : 225 : power : : 3.0V : 8 : USB_CTL[1] : 226 : bidir : 3.0-V LVTTL : : 8 : Y GND : 227 : gnd : : : : VCCINT : 228 : power : : 1.2V : : GND : 229 : gnd : : : : USB_IFCLK : 230 : bidir : 3.0-V LVTTL : : 8 : Y USB_RDY[0] : 231 : bidir : 3.0-V LVTTL : : 8 : Y USB_CTL[0] : 232 : bidir : 3.0-V LVTTL : : 8 : Y USB_SPARE : 233 : bidir : 3.0-V LVTTL : : 8 : Y GND* : 234 : : : : 8 : USB_PA[6] : 235 : bidir : 3.0-V LVTTL : : 8 : Y GND* : 236 : : : : 8 : GND* : 237 : : : : 8 : GND* : 238 : : : : 8 : GND* : 239 : : : : 8 : GND* : 240 : : : : 8 :