-- Copyright (C) 1991-2013 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCPGM : Dedicated power pin for configuration, which MUST be connected to 1.8V, 2.5V, 3.0V or 3.3V depending on the requirements of the configuration device. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.1V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 3A: 3.0V -- Bank 3B: 3.0V -- Bank 3C: 3.0V -- Bank 3D: 3.0V -- Bank 4D: 2.5V -- Bank 4C: 2.5V -- Bank 4B: 2.5V -- Bank 4A: 2.5V -- Bank 7A: 2.5V -- Bank 7B: 2.5V -- Bank 7C: 2.5V -- Bank 7D: 2.5V -- Bank 8D: 3.0V -- Bank 8C: 3.0V -- Bank 8B: 3.0V -- Bank 8A: 3.0V -- RREF : External reference resistor for the quad, MUST be connected to -- GND via a 2k Ohm resistor. -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. For transceiver I/O banks, connect each pin marked GND* -- either individually through a 10k Ohm resistor to GND or tie all pins -- together and connect through a single 10k Ohm resistor to GND. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. -- GXB_GND* : Unused GXB Receiver or dedicated reference clock pin. This pin -- must be connected to GXB_GND through a 10k Ohm resistor. -- GXB_NC : Unused GXB Transmitter or dedicated clock output pin. This pin -- must not be connected. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version CHIP "Rx" ASSIGNED TO AN: 5AGXFB5H4F35C4 Device Migration List: "5AGXFA5H4F35C4, 5AGXFA5H4F35C5, 5AGXFA5H4F35I5, 5AGXFA5H6F35C6, 5AGXFA7H4F35C4, 5AGXFA7H4F35C5, 5AGXFA7H4F35I5, 5AGXFA7H6F35C6, 5AGXFB1H4F35C4, 5AGXFB1H4F35C5, 5AGXFB1H4F35I5, 5AGXFB1H6F35C6, 5AGXFB3H4F35C4, 5AGXFB3H4F35C5, 5AGXFB3H4F35C5ES, 5AGXFB3H4F35I5, 5AGXFB3H4F35I5ES, 5AGXFB3H6F35C6, 5AGXFB3H6F35C6ES, 5AGXFB5H4F35C5, 5AGXFB5H4F35I5, 5AGXFB5H6F35C6, 5AGXFB7H4F35C4, 5AGXFB7H4F35C5, 5AGXFB7H4F35I5, 5AGXFB7H6F35C6" Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- Systemoutbis : A2 : output : LVDS : : 7A : Y Systemin[1] : A3 : input : LVDS : : 7A : Y GND* : A4 : : : : 7A : GND* : A5 : : : : 7A : GND* : A6 : : : : 7B : GND* : A7 : : : : 7B : ADC1_LVDS_OUT_CLK : A8 : output : LVDS : : 7B : Y VCCIO7B : A9 : power : : 2.5V : 7B : ADC1_LVDS_IN_DA : A10 : input : LVDS : : 7B : Y GND* : A11 : : : : 7C : VCCIO7C : A12 : power : : 2.5V : 7C : GND* : A13 : : : : 7C : ADC3_LVDS_IN_DB : A14 : input : LVDS : : 7D : Y VCCIO7D : A15 : power : : 2.5V : 7D : SW4_Control[0] : A16 : output : 3.0-V LVTTL : : 8D : Y Bias2_Control[0] : A17 : bidir : 3.0-V LVTTL : : 8D : Y VCCIO8D : A18 : power : : 3.0V : 8D : VSUB_Control[4] : A19 : bidir : 3.0-V LVTTL : : 8D : Y SW3_Control[1] : A20 : output : 3.0-V LVTTL : : 8D : Y VCCIO8C : A21 : power : : 3.0V : 8C : Bias5_Control[1] : A22 : bidir : 3.0-V LVTTL : : 8C : Y SW2_Control[1] : A23 : output : 3.0-V LVTTL : : 8C : Y VCCIO8B : A24 : power : : 3.0V : 8B : vme_data[9] : A25 : bidir : 3.0-V LVTTL : : 8B : Y vme_data[4] : A26 : bidir : 3.0-V LVTTL : : 8B : Y GND* : A27 : : : : 8A : address[18] : A28 : input : 3.0-V LVTTL : : 8A : Y address[20] : A29 : input : 3.0-V LVTTL : : 8A : Y address[19] : A30 : input : 3.0-V LVTTL : : 8A : Y address[22] : A31 : input : 3.0-V LVTTL : : 8A : Y _as : A32 : input : 3.0-V LVTTL : : 8A : Y nCE : A33 : : : : 8A : GND : AA1 : gnd : : : : GND : AA2 : gnd : : : : GXB_NC : AA3 : : : : B0R : GXB_NC : AA4 : : : : B0R : VCCR_GXBR : AA5 : power : : 1.15V : : VCCR_GXBR : AA6 : power : : 1.15V : : SFP2_gx_pll_refclkin(n) : AA7 : input : 1.5-V PCML : : B0R : Y SFP2_gx_pll_refclkin : AA8 : input : 1.5-V PCML : : B0R : Y GND : AA9 : gnd : : : : GND* : AA10 : : : : 4B : GND : AA11 : gnd : : : : ADC4_LVDS_OUT_CLK : AA12 : output : LVDS : : 4C : Y GND : AA13 : gnd : : : : GND* : AA14 : : : : 4D : GND* : AA15 : : : : 4D : GND : AA16 : gnd : : : : Bias5_Control[5] : AA17 : bidir : 3.0-V LVTTL : : 3D : Y Bias6_Control[5] : AA18 : bidir : 3.0-V LVTTL : : 3D : Y GND : AA19 : gnd : : : : SFP2_Control[2] : AA20 : bidir : 3.0-V LVTTL : : 3C : Y Bias4_Control[2] : AA21 : bidir : 3.0-V LVTTL : : 3C : Y GND : AA22 : gnd : : : : Bias5_Control[2] : AA23 : bidir : 3.0-V LVTTL : : 3B : Y GND : AA24 : gnd : : : : GND* : AA25 : : : : 3B : GND : AA26 : gnd : : : : SFP1_gx_pll_refclkin : AA27 : input : 1.5-V PCML : : B0L : Y SFP1_gx_pll_refclkin(n) : AA28 : input : 1.5-V PCML : : B0L : Y VCCR_GXBL : AA29 : power : : 1.15V : : VCCR_GXBL : AA30 : power : : 1.15V : : GXB_NC : AA31 : : : : B0L : GXB_NC : AA32 : : : : B0L : GND : AA33 : gnd : : : : GND : AA34 : gnd : : : : GND : AB1 : : : : B0R : GND : AB2 : : : : B0R : GND : AB3 : gnd : : : : GND : AB4 : gnd : : : : GND : AB5 : gnd : : : : VCCPD4A : AB6 : power : : 2.5V : 4A : GND : AB7 : gnd : : : : GND : AB8 : gnd : : : : VCCPD4A : AB9 : power : : 2.5V : 4A : GND* : AB10 : : : : 4B : GND* : AB11 : : : : 4C : DAC_Control[6] : AB12 : bidir : 2.5 V : : 4C : Y DCA_LVDS_OUT_1(n) : AB13 : output : LVDS : : 4C : Y GND* : AB14 : : : : 4D : GND* : AB15 : : : : 4D : GND* : AB16 : : : : 4D : VSUB_Control[7] : AB17 : bidir : 3.0-V LVTTL : : 3D : Y GND* : AB18 : : : : 3D : BiasSW_Control[0] : AB19 : bidir : 3.0-V LVTTL : : 3D : Y SFP1_Control[1] : AB20 : bidir : 3.0-V LVTTL : : 3C : Y Bias3_Control[1] : AB21 : bidir : 3.0-V LVTTL : : 3C : Y SW2_Control[2] : AB22 : output : 3.0-V LVTTL : : 3C : Y VSUB_Control[2] : AB23 : bidir : 3.0-V LVTTL : : 3B : Y GND* : AB24 : : : : 3B : GND* : AB25 : : : : 3B : VCCPD3 : AB26 : power : : 3.0V : : GND : AB27 : gnd : : : : GND : AB28 : gnd : : : : GND : AB29 : gnd : : : : GND : AB30 : gnd : : : : GND : AB31 : gnd : : : : GND : AB32 : gnd : : : : GND : AB33 : : : : B0L : GND : AB34 : : : : B0L : GND : AC1 : gnd : : : : GND : AC2 : gnd : : : : GXB_NC : AC3 : : : : B0R : GXB_NC : AC4 : : : : B0R : GND : AC5 : gnd : : : : GND* : AC6 : : : : 4A : GND* : AC7 : : : : 4A : GND* : AC8 : : : : 4B : GND* : AC9 : : : : 4B : GND* : AC10 : : : : 4B : GND* : AC11 : : : : 4C : GND* : AC12 : : : : 4C : DCA_LVDS_OUT_1 : AC13 : output : LVDS : : 4C : Y GND* : AC14 : : : : 4D : GND* : AC15 : : : : 4D : GND* : AC16 : : : : 4D : Bias1_Control[6] : AC17 : bidir : 3.0-V LVTTL : : 3D : Y Bias6_Control[6] : AC18 : bidir : 3.0-V LVTTL : : 3D : Y Bias1_Control[1] : AC19 : bidir : 3.0-V LVTTL : : 3D : Y GND* : AC20 : : : : 3C : SFP2_Control[5] : AC21 : bidir : 3.0-V LVTTL : : 3C : Y Bias2_Control[2] : AC22 : bidir : 3.0-V LVTTL : : 3C : Y GND* : AC23 : : : : 3B : GND* : AC24 : : : : 3B : VSUB_Control[6] : AC25 : bidir : 3.0-V LVTTL : : 3B : Y VCCPGM : AC26 : power : : 3.0V/3.3V : : VCCPD3 : AC27 : power : : 3.0V : : altera_reserved_tdo : AC28 : output : 3.0-V LVTTL : : 3A : Y altera_reserved_tdi : AC29 : input : 3.0-V LVTTL : : 3A : Y GND : AC30 : gnd : : : : GXB_NC : AC31 : : : : B0L : GXB_NC : AC32 : : : : B0L : GND : AC33 : gnd : : : : GND : AC34 : gnd : : : : GND : AD1 : : : : B0R : GND : AD2 : : : : B0R : GND : AD3 : gnd : : : : GND : AD4 : gnd : : : : VCCIO4A : AD5 : power : : 2.5V : 4A : Systemout(n) : AD6 : output : LVDS : : 4A : Y GND : AD7 : gnd : : : : GND* : AD8 : : : : 4B : GND* : AD9 : : : : 4B : GND : AD10 : gnd : : : : DCA_LVDS_OUT_0(n) : AD11 : output : LVDS : : 4C : Y ADC4_LVDS_IN_DB(n) : AD12 : input : LVDS : : 4C : Y GND : AD13 : gnd : : : : GND* : AD14 : : : : 4D : GND* : AD15 : : : : 4D : GND : AD16 : gnd : : : : VSUB_Control[8] : AD17 : bidir : 3.0-V LVTTL : : 3D : Y DNU : AD18 : : : : : GND : AD19 : gnd : : : : SFP2_Control[1] : AD20 : bidir : 3.0-V LVTTL : : 3C : Y SFP2_Control[0] : AD21 : bidir : 3.0-V LVTTL : : 3C : Y GND : AD22 : gnd : : : : Bias6_Control[2] : AD23 : bidir : 3.0-V LVTTL : : 3B : Y GND* : AD24 : : : : 3B : GND : AD25 : gnd : : : : GND* : AD26 : : : : 3A : GND* : AD27 : : : : 3A : GND : AD28 : gnd : : : : GND* : AD29 : : : : 3A : VCCIO3A : AD30 : power : : 3.0V : 3A : GND : AD31 : gnd : : : : GND : AD32 : gnd : : : : GND : AD33 : : : : B0L : GND : AD34 : : : : B0L : GND : AE1 : gnd : : : : GND : AE2 : gnd : : : : GXB_NC : AE3 : : : : B0R : GXB_NC : AE4 : : : : B0R : GND : AE5 : gnd : : : : Systemout : AE6 : output : LVDS : : 4A : Y GND* : AE7 : : : : 4A : GND* : AE8 : : : : 4B : GND* : AE9 : : : : 4B : GND* : AE10 : : : : 4B : DCA_LVDS_OUT_0 : AE11 : output : LVDS : : 4C : Y ADC4_LVDS_IN_DB : AE12 : input : LVDS : : 4C : Y GND* : AE13 : : : : 4C : GND* : AE14 : : : : 4D : GND* : AE15 : : : : 4D : GND* : AE16 : : : : 4D : Bias5_Control[6] : AE17 : bidir : 3.0-V LVTTL : : 3D : Y GND* : AE18 : : : : 3D : Bias2_Control[4] : AE19 : bidir : 3.0-V LVTTL : : 3D : Y SFP2_Control[3] : AE20 : bidir : 3.0-V LVTTL : : 3C : Y GND* : AE21 : : : : 3C : SW5_Control[1] : AE22 : output : 3.0-V LVTTL : : 3C : Y GND* : AE23 : : : : 3B : Bias2_Control[5] : AE24 : bidir : 3.0-V LVTTL : : 3B : Y VSUB_Control[5] : AE25 : bidir : 3.0-V LVTTL : : 3B : Y GND* : AE26 : : : : 3A : GND* : AE27 : : : : 3A : GND* : AE28 : : : : 3A : GND* : AE29 : : : : 3A : GND : AE30 : gnd : : : : GXB_NC : AE31 : : : : B0L : GXB_NC : AE32 : : : : B0L : GND : AE33 : gnd : : : : GND : AE34 : gnd : : : : GND : AF1 : : : : B0R : GND : AF2 : : : : B0R : GND : AF3 : gnd : : : : GND : AF4 : gnd : : : : VCCIO4A : AF5 : power : : 2.5V : 4A : enet_rx_clk : AF6 : input : 2.5 V : : 4A : Y GND* : AF7 : : : : 4A : GND* : AF8 : : : : 4B : VCCIO4B : AF9 : power : : 2.5V : 4B : GND* : AF10 : : : : 4B : DAC_Control[2] : AF11 : bidir : 2.5 V : : 4C : Y VCCIO4C : AF12 : power : : 2.5V : 4C : GND* : AF13 : : : : 4C : GND* : AF14 : : : : 4D : VCCIO4D : AF15 : power : : 2.5V : 4D : GND* : AF16 : : : : 4D : Bias4_Control[6] : AF17 : bidir : 3.0-V LVTTL : : 3D : Y VCCIO3D : AF18 : power : : 3.0V : 3D : BiasSW_Control[4] : AF19 : bidir : 3.0-V LVTTL : : 3D : Y led_2 : AF20 : output : 3.0-V LVTTL : : 3C : Y VCCIO3C : AF21 : power : : 3.0V : 3C : SW1_Control[1] : AF22 : output : 3.0-V LVTTL : : 3C : Y GND* : AF23 : : : : 3B : VCCIO3B : AF24 : power : : 3.0V : 3B : GND* : AF25 : : : : 3B : GND* : AF26 : : : : 3A : VCCIO3A : AF27 : power : : 3.0V : 3A : vme_data[17] : AF28 : bidir : 3.0-V LVTTL : : 3A : Y GND* : AF29 : : : : 3A : altera_reserved_tms : AF30 : input : 3.0-V LVTTL : : 3A : Y GND : AF31 : gnd : : : : GND : AF32 : gnd : : : : GND : AF33 : : : : B0L : GND : AF34 : : : : B0L : GND : AG1 : gnd : : : : GND : AG2 : gnd : : : : GXB_NC : AG3 : : : : B0R : GXB_NC : AG4 : : : : B0R : GND : AG5 : gnd : : : : GND* : AG6 : : : : 4A : GND : AG7 : gnd : : : : GND* : AG8 : : : : 4B : GND* : AG9 : : : : 4B : GND : AG10 : gnd : : : : GND* : AG11 : : : : 4C : DAC_Control[5] : AG12 : bidir : 2.5 V : : 4C : Y GND : AG13 : gnd : : : : GND* : AG14 : : : : 4D : GND* : AG15 : : : : 4D : GND : AG16 : gnd : : : : SW1_Control[4] : AG17 : output : 3.0-V LVTTL : : 3D : Y GND* : AG18 : : : : 3D : GND : AG19 : gnd : : : : SFP2_Control[6] : AG20 : bidir : 3.0-V LVTTL : : 3C : Y SW4_Control[1] : AG21 : output : 3.0-V LVTTL : : 3C : Y GND : AG22 : gnd : : : : GND* : AG23 : : : : 3B : SFP1_Control[4] : AG24 : bidir : 3.0-V LVTTL : : 3B : Y GND : AG25 : gnd : : : : GND* : AG26 : : : : 3A : vme_data[16] : AG27 : bidir : 3.0-V LVTTL : : 3A : Y GND : AG28 : gnd : : : : GND* : AG29 : : : : 3A : GND : AG30 : gnd : : : : GXB_NC : AG31 : : : : B0L : GXB_NC : AG32 : : : : B0L : GND : AG33 : gnd : : : : GND : AG34 : gnd : : : : GND : AH1 : : : : B0R : GND : AH2 : : : : B0R : GND : AH3 : gnd : : : : GND : AH4 : gnd : : : : VCCIO4A : AH5 : power : : 2.5V : 4A : GND* : AH6 : : : : 4A : GND* : AH7 : : : : 4A : GND* : AH8 : : : : 4B : GND* : AH9 : : : : 4B : GND* : AH10 : : : : 4B : ADC4_LVDS_OUT_CNV(n) : AH11 : output : LVDS : : 4C : Y GND* : AH12 : : : : 4C : GND* : AH13 : : : : 4C : GND* : AH14 : : : : 4D : GND* : AH15 : : : : 4D : GND* : AH16 : : : : 4D : Bias6_Control[3] : AH17 : bidir : 3.0-V LVTTL : : 3D : Y led_1 : AH18 : output : 3.0-V LVTTL : : 3D : Y GND* : AH19 : : : : 3D : SFP1_Control[7] : AH20 : bidir : 3.0-V LVTTL : : 3C : Y BiasSW_Control[2] : AH21 : bidir : 3.0-V LVTTL : : 3C : Y SW1_Control[2] : AH22 : output : 3.0-V LVTTL : : 3C : Y Bias1_Control[2] : AH23 : bidir : 3.0-V LVTTL : : 3B : Y GND* : AH24 : : : : 3B : GND* : AH25 : : : : 3B : vme_data[18] : AH26 : bidir : 3.0-V LVTTL : : 3A : Y vme_data[30] : AH27 : bidir : 3.0-V LVTTL : : 3A : Y GND* : AH28 : : : : 3A : vme_data[21] : AH29 : bidir : 3.0-V LVTTL : : 3A : Y VCCIO3A : AH30 : power : : 3.0V : 3A : GND : AH31 : gnd : : : : GND : AH32 : gnd : : : : GND : AH33 : : : : B0L : GND : AH34 : : : : B0L : GND : AJ1 : gnd : : : : GND : AJ2 : gnd : : : : SFP2_tx_out : AJ3 : output : 1.5-V PCML : : B0R : Y SFP2_tx_out(n) : AJ4 : output : 1.5-V PCML : : B0R : Y GND : AJ5 : gnd : : : : enet_rx_d[0] : AJ6 : input : 2.5 V : : 4A : Y GND* : AJ7 : : : : 4A : GND* : AJ8 : : : : 4B : VCCIO4B : AJ9 : power : : 2.5V : 4B : GND* : AJ10 : : : : 4B : ADC4_LVDS_OUT_CNV : AJ11 : output : LVDS : : 4C : Y VCCIO4C : AJ12 : power : : 2.5V : 4C : GND* : AJ13 : : : : 4C : GND* : AJ14 : : : : 4D : VCCIO4D : AJ15 : power : : 2.5V : 4D : GND* : AJ16 : : : : 4D : Bias3_Control[5] : AJ17 : bidir : 3.0-V LVTTL : : 3D : Y VCCIO3D : AJ18 : power : : 3.0V : 3D : Bias1_Control[0] : AJ19 : bidir : 3.0-V LVTTL : : 3D : Y SFP1_Control[6] : AJ20 : bidir : 3.0-V LVTTL : : 3C : Y VCCIO3C : AJ21 : power : : 3.0V : 3C : BiasSW_Control[1] : AJ22 : bidir : 3.0-V LVTTL : : 3C : Y SW3_Control[2] : AJ23 : output : 3.0-V LVTTL : : 3B : Y VCCIO3B : AJ24 : power : : 3.0V : 3B : GND* : AJ25 : : : : 3B : vme_data[22] : AJ26 : bidir : 3.0-V LVTTL : : 3A : Y VCCIO3A : AJ27 : power : : 3.0V : 3A : GND* : AJ28 : : : : 3A : GND* : AJ29 : : : : 3A : GND : AJ30 : gnd : : : : SFP1_tx_out(n) : AJ31 : output : 1.5-V PCML : : B0L : Y SFP1_tx_out : AJ32 : output : 1.5-V PCML : : B0L : Y GND : AJ33 : gnd : : : : GND : AJ34 : gnd : : : : SFP2_rx_in : AK1 : input : 1.5-V PCML : : B0R : Y SFP2_rx_in(n) : AK2 : input : 1.5-V PCML : : B0R : Y GND : AK3 : gnd : : : : GND : AK4 : gnd : : : : VCCIO4A : AK5 : power : : 2.5V : 4A : GND* : AK6 : : : : 4A : GND : AK7 : gnd : : : : GND* : AK8 : : : : 4B : GND* : AK9 : : : : 4B : GND : AK10 : gnd : : : : GND* : AK11 : : : : 4C : ADC4_LVDS_IN_DA(n) : AK12 : input : LVDS : : 4C : Y GND : AK13 : gnd : : : : GND* : AK14 : : : : 4D : GND* : AK15 : : : : 4D : GND : AK16 : gnd : : : : Bias1_Control[5] : AK17 : bidir : 3.0-V LVTTL : : 3D : Y Bias5_Control[4] : AK18 : bidir : 3.0-V LVTTL : : 3D : Y GND : AK19 : gnd : : : : SFP1_Control[5] : AK20 : bidir : 3.0-V LVTTL : : 3C : Y GND* : AK21 : : : : 3C : GND : AK22 : gnd : : : : GND* : AK23 : : : : 3B : DCA_GAIN[1] : AK24 : bidir : 3.0-V LVTTL : : 3B : Y GND : AK25 : gnd : : : : GND* : AK26 : : : : 3A : vme_data[31] : AK27 : bidir : 3.0-V LVTTL : : 3A : Y GND : AK28 : gnd : : : : GND* : AK29 : : : : 3A : VCCIO3A : AK30 : power : : 3.0V : 3A : GND : AK31 : gnd : : : : GND : AK32 : gnd : : : : SFP1_rx_in(n) : AK33 : input : 1.5-V PCML : : B0L : Y SFP1_rx_in : AK34 : input : 1.5-V PCML : : B0L : Y GND : AL1 : gnd : : : : GND : AL2 : gnd : : : : GND : AL3 : gnd : : : : enet_tx_d[0] : AL4 : output : 2.5 V : : 4A : Y enet_gtx_clk : AL5 : output : 2.5 V : : 4A : Y enet_tx_d[3] : AL6 : output : 2.5 V : : 4A : Y enet_tx_d[1] : AL7 : output : 2.5 V : : 4A : Y GND* : AL8 : : : : 4B : GND* : AL9 : : : : 4B : GND* : AL10 : : : : 4B : GND* : AL11 : : : : 4C : ADC4_LVDS_IN_DA : AL12 : input : LVDS : : 4C : Y ADC4_LVDS_IN_DCO(n) : AL13 : input : LVDS : : 4C : Y GND* : AL14 : : : : 4D : GND* : AL15 : : : : 4D : GND* : AL16 : : : : 4D : Bias3_Control[6] : AL17 : bidir : 3.0-V LVTTL : : 3D : Y Bias3_Control[4] : AL18 : bidir : 3.0-V LVTTL : : 3D : Y Bias4_Control[5] : AL19 : bidir : 3.0-V LVTTL : : 3D : Y SFP2_Control[7] : AL20 : bidir : 3.0-V LVTTL : : 3C : Y VSUB_Control[1] : AL21 : bidir : 3.0-V LVTTL : : 3C : Y GND* : AL22 : : : : 3C : GND* : AL23 : : : : 3B : DCA_GAIN[0] : AL24 : bidir : 3.0-V LVTTL : : 3B : Y GND* : AL25 : : : : 3A : vme_data[20] : AL26 : bidir : 3.0-V LVTTL : : 3A : Y GND* : AL27 : : : : 3A : vme_data[27] : AL28 : bidir : 3.0-V LVTTL : : 3A : Y vme_data[19] : AL29 : bidir : 3.0-V LVTTL : : 3A : Y GND* : AL30 : : : : 3A : GND* : AL31 : : : : 3A : DNU : AL32 : : : : : GND : AL33 : gnd : : : : GND : AL34 : gnd : : : : RREF : AM1 : : : : : DNU : AM2 : : : : : enet_tx(n) : AM3 : output : LVDS : : 4A : Y enet_tx : AM4 : output : LVDS : : 4A : Y enet_rx_d[1] : AM5 : input : 2.5 V : : 4A : Y enet_rx_d[2] : AM6 : input : 2.5 V : : 4A : Y enet_tx_d[2] : AM7 : output : 2.5 V : : 4A : Y GND* : AM8 : : : : 4B : VCCIO4B : AM9 : power : : 2.5V : 4B : GND* : AM10 : : : : 4B : GND* : AM11 : : : : 4B : VCCIO4C : AM12 : power : : 2.5V : 4C : ADC4_LVDS_IN_DCO : AM13 : input : LVDS : : 4C : Y GND* : AM14 : : : : 4D : VCCIO4D : AM15 : power : : 2.5V : 4D : GND* : AM16 : : : : 4D : Bias2_Control[6] : AM17 : bidir : 3.0-V LVTTL : : 3D : Y VCCIO3D : AM18 : power : : 3.0V : 3D : SW5_Control[2] : AM19 : output : 3.0-V LVTTL : : 3D : Y SFP2_Control[4] : AM20 : bidir : 3.0-V LVTTL : : 3C : Y VCCIO3C : AM21 : power : : 3.0V : 3C : SFP1_Control[2] : AM22 : bidir : 3.0-V LVTTL : : 3C : Y GND* : AM23 : : : : 3B : VCCIO3B : AM24 : power : : 3.0V : 3B : GND* : AM25 : : : : 3A : vme_data[24] : AM26 : bidir : 3.0-V LVTTL : : 3A : Y VCCIO3A : AM27 : power : : 3.0V : 3A : vme_data[25] : AM28 : bidir : 3.0-V LVTTL : : 3A : Y vme_data[28] : AM29 : bidir : 3.0-V LVTTL : : 3A : Y GND* : AM30 : : : : 3A : dir_trans : AM31 : output : 3.0-V LVTTL : : 3A : Y DCLK : AM32 : bidir : : : 3A : AS_DATA3, DATA3 : AM33 : input : : : 3A : nCSO, DATA4 : AM34 : input : : : 3A : GND : AN1 : gnd : : : : DNU : AN2 : : : : : enet_rx : AN3 : input : LVDS : : 4A : Y GND : AN4 : gnd : : : : enet_resetn : AN5 : output : 2.5 V : : 4A : Y enet_mdio : AN6 : output : 2.5 V : : 4A : Y GND : AN7 : gnd : : : : GND* : AN8 : : : : 4B : GND* : AN9 : : : : 4B : GND : AN10 : gnd : : : : GND* : AN11 : : : : 4B : GND* : AN12 : : : : 4C : GND : AN13 : gnd : : : : GND* : AN14 : : : : 4C : GND* : AN15 : : : : 4D : GND : AN16 : gnd : : : : SW2_Control[4] : AN17 : output : 3.0-V LVTTL : : 3D : Y SW3_Control[0] : AN18 : output : 3.0-V LVTTL : : 3D : Y GND : AN19 : gnd : : : : SFP1_Control[0] : AN20 : bidir : 3.0-V LVTTL : : 3C : Y Bias3_Control[2] : AN21 : bidir : 3.0-V LVTTL : : 3C : Y GND : AN22 : gnd : : : : GND* : AN23 : : : : 3B : GND* : AN24 : : : : 3B : GND : AN25 : gnd : : : : EnPos : AN26 : output : 3.0-V LVTTL : : 3A : Y EnNeg : AN27 : output : 3.0-V LVTTL : : 3A : Y GND : AN28 : gnd : : : : GND* : AN29 : : : : 3A : GND* : AN30 : : : : 3A : GND : AN31 : gnd : : : : altera_reserved_tck : AN32 : input : 3.0-V LVTTL : : 3A : Y AS_DATA1, DATA1 : AN33 : input : : : 3A : AS_DATA0, ASDO, DATA0 : AN34 : input : : : 3A : enet_rx(n) : AP2 : input : LVDS : : 4A : Y enet_tx_en : AP3 : output : 2.5 V : : 4A : Y enet_rx_dv : AP4 : input : 2.5 V : : 4A : Y enet_rx_d[3] : AP5 : input : 2.5 V : : 4A : Y enet_mdc : AP6 : output : 2.5 V : : 4A : Y enet_led_link1000 : AP7 : input : 2.5 V : : 4A : Y enet_intn : AP8 : input : 2.5 V : : 4A : Y VCCIO4B : AP9 : power : : 2.5V : 4B : GND* : AP10 : : : : 4B : GND* : AP11 : : : : 4B : VCCIO4C : AP12 : power : : 2.5V : 4C : DAC_Control[0] : AP13 : bidir : 2.5 V : : 4C : Y GND* : AP14 : : : : 4C : VCCIO4D : AP15 : power : : 2.5V : 4D : GND* : AP16 : : : : 4D : BiasSW_Control[3] : AP17 : bidir : 3.0-V LVTTL : : 3D : Y VCCIO3D : AP18 : power : : 3.0V : 3D : SW1_Control[0] : AP19 : output : 3.0-V LVTTL : : 3D : Y SFP1_Control[3] : AP20 : bidir : 3.0-V LVTTL : : 3C : Y VCCIO3C : AP21 : power : : 3.0V : 3C : GND* : AP22 : : : : 3B : SW4_Control[2] : AP23 : output : 3.0-V LVTTL : : 3B : Y VCCIO3B : AP24 : power : : 3.0V : 3B : GND* : AP25 : : : : 3A : vme_data[23] : AP26 : bidir : 3.0-V LVTTL : : 3A : Y vme_data[26] : AP27 : bidir : 3.0-V LVTTL : : 3A : Y vme_data[29] : AP28 : bidir : 3.0-V LVTTL : : 3A : Y GND* : AP29 : : : : 3A : transceivers_OE : AP30 : output : 3.0-V LVTTL : : 3A : Y GND* : AP31 : : : : 3A : GND* : AP32 : : : : 3A : AS_DATA2, DATA2 : AP33 : input : : : 3A : GND : B1 : gnd : : : : Systemoutbis(n) : B2 : output : LVDS : : 7A : Y Systemin[1](n) : B3 : input : LVDS : : 7A : Y GND : B4 : gnd : : : : GND* : B5 : : : : 7A : ADC1_LVDS_IN_DB : B6 : input : LVDS : : 7B : Y GND : B7 : gnd : : : : ADC1_LVDS_OUT_CLK(n) : B8 : output : LVDS : : 7B : Y ADC1_LVDS_IN_DA(n) : B9 : input : LVDS : : 7B : Y GND : B10 : gnd : : : : GND* : B11 : : : : 7C : GND* : B12 : : : : 7C : GND : B13 : gnd : : : : ADC3_LVDS_IN_DA : B14 : input : LVDS : : 7D : Y ADC3_LVDS_IN_DB(n) : B15 : input : LVDS : : 7D : Y GND : B16 : gnd : : : : Bias4_Control[3] : B17 : bidir : 3.0-V LVTTL : : 8D : Y Bias2_Control[1] : B18 : bidir : 3.0-V LVTTL : : 8D : Y GND : B19 : gnd : : : : debug[18] : B20 : bidir : 3.0-V LVTTL : : 8C : Y am[2] : B21 : input : 3.0-V LVTTL : : 8C : Y GND : B22 : gnd : : : : Bias6_Control[1] : B23 : bidir : 3.0-V LVTTL : : 8C : Y vme_data[3] : B24 : bidir : 3.0-V LVTTL : : 8B : Y GND : B25 : gnd : : : : vme_data[5] : B26 : bidir : 3.0-V LVTTL : : 8B : Y address[25] : B27 : input : 3.0-V LVTTL : : 8A : Y GND : B28 : gnd : : : : address[2] : B29 : input : 3.0-V LVTTL : : 8A : Y GND* : B30 : : : : 8A : GND : B31 : gnd : : : : _ds[1] : B32 : input : 3.0-V LVTTL : : 8A : Y GND : B33 : : : : 8A : nSTATUS : B34 : : : : 8A : CLOCKIN : C1 : input : LVDS : : 7A : Y CLOCKIN(n) : C2 : input : LVDS : : 7A : Y GND* : C3 : : : : 7A : ~ALTERA_CRC_ERROR~ / RESERVED_OUTPUT_OPEN_DRAIN : C4 : output : 2.5 V : : 7A : Y VCCIO7A : C5 : power : : 2.5V : 7A : GND* : C6 : : : : 7A : ADC1_LVDS_IN_DB(n) : C7 : input : LVDS : : 7B : Y ADC1_LVDS_IN_DCO : C8 : input : LVDS : : 7B : Y VCCIO7B : C9 : power : : 2.5V : 7B : GND* : C10 : : : : 7C : ADC2_LVDS_IN_DCO : C11 : input : LVDS : : 7C : Y VCCIO7C : C12 : power : : 2.5V : 7C : GND* : C13 : : : : 7D : ADC3_LVDS_IN_DA(n) : C14 : input : LVDS : : 7D : Y VCCIO7D : C15 : power : : 2.5V : 7D : GND* : C16 : : : : 7D : GND* : C17 : : : : 8D : VCCIO8D : C18 : power : : 3.0V : 8D : Bias5_Control[0] : C19 : bidir : 3.0-V LVTTL : : 8D : Y debug[17] : C20 : bidir : 3.0-V LVTTL : : 8C : Y VCCIO8C : C21 : power : : 3.0V : 8C : am[5] : C22 : input : 3.0-V LVTTL : : 8C : Y clkswitch : C23 : bidir : 3.0-V LVTTL : : 8B : Y VCCIO8B : C24 : power : : 3.0V : 8B : vme_data[6] : C25 : bidir : 3.0-V LVTTL : : 8B : Y GND* : C26 : : : : 8B : VCCIO8A : C27 : power : : 3.0V : 8A : address[15] : C28 : input : 3.0-V LVTTL : : 8A : Y address[9] : C29 : input : 3.0-V LVTTL : : 8A : Y VCCIO8A : C30 : power : : 3.0V : 8A : address[21] : C31 : input : 3.0-V LVTTL : : 8A : Y _ds[0] : C32 : input : 3.0-V LVTTL : : 8A : Y nCONFIG : C33 : input : : : 8A : CONF_DONE : C34 : bidir : : : 8A : Systemin[2] : D1 : input : LVDS : : 7A : Y GND : D2 : gnd : : : : GND* : D3 : : : : 7A : GND : D4 : gnd : : : : GND* : D5 : : : : 7A : GND* : D6 : : : : 7A : ADC1_LVDS_IN_DCO(n) : D7 : input : LVDS : : 7B : Y GND* : D8 : : : : 7B : GND* : D9 : : : : 7B : GND* : D10 : : : : 7C : ADC2_LVDS_IN_DCO(n) : D11 : input : LVDS : : 7C : Y ADC2_LVDS_OUT_CLK : D12 : output : LVDS : : 7C : Y GND* : D13 : : : : 7D : GND* : D14 : : : : 7D : ADC3_LVDS_OUT_CNV : D15 : output : LVDS : : 7D : Y GND* : D16 : : : : 7D : Bias2_Control[3] : D17 : bidir : 3.0-V LVTTL : : 8D : Y Bias1_Control[4] : D18 : bidir : 3.0-V LVTTL : : 8D : Y SW3_Control[4] : D19 : output : 3.0-V LVTTL : : 8D : Y debug[16] : D20 : bidir : 3.0-V LVTTL : : 8C : Y am[4] : D21 : input : 3.0-V LVTTL : : 8C : Y _berr : D22 : output : 3.0-V LVTTL : : 8C : Y clkswitchcontrol : D23 : output : 3.0-V LVTTL : : 8B : Y vme_data[8] : D24 : bidir : 3.0-V LVTTL : : 8B : Y GND* : D25 : : : : 8B : address[11] : D26 : input : 3.0-V LVTTL : : 8A : Y address[12] : D27 : input : 3.0-V LVTTL : : 8A : Y address[17] : D28 : input : 3.0-V LVTTL : : 8A : Y sysclk : D29 : input : 3.0-V LVTTL : : 8A : Y address[8] : D30 : input : 3.0-V LVTTL : : 8A : Y GND* : D31 : : : : 8A : GND* : D32 : : : : 8A : GND* : D33 : : : : 8A : MSEL0 : D34 : input : : : 8A : GND* : E1 : : : : 7A : Systemin[2](n) : E2 : input : LVDS : : 7A : Y GND* : E3 : : : : 7A : GND* : E4 : : : : 7A : GND* : E5 : : : : 7A : GND* : E6 : : : : 7A : GND : E7 : gnd : : : : ADC1_LVDS_OUT_CNV : E8 : output : LVDS : : 7B : Y GND* : E9 : : : : 7B : GND : E10 : gnd : : : : GND* : E11 : : : : 7C : ADC2_LVDS_OUT_CLK(n) : E12 : output : LVDS : : 7C : Y GND : E13 : gnd : : : : GND* : E14 : : : : 7D : ADC3_LVDS_OUT_CNV(n) : E15 : output : LVDS : : 7D : Y GND : E16 : gnd : : : : SW3_Control[3] : E17 : output : 3.0-V LVTTL : : 8D : Y SW4_Control[4] : E18 : output : 3.0-V LVTTL : : 8D : Y GND : E19 : gnd : : : : debug[10] : E20 : bidir : 3.0-V LVTTL : : 8C : Y am[1] : E21 : input : 3.0-V LVTTL : : 8C : Y GND : E22 : gnd : : : : CRC_ERROR_IN : E23 : input : 3.0-V LVTTL : : 8B : Y GND* : E24 : : : : 8B : GND : E25 : gnd : : : : address[23] : E26 : input : 3.0-V LVTTL : : 8A : Y GND* : E27 : : : : 8A : GND : E28 : gnd : : : : GND* : E29 : : : : 8A : address[10] : E30 : input : 3.0-V LVTTL : : 8A : Y GND : E31 : gnd : : : : address[24] : E32 : input : 3.0-V LVTTL : : 8A : Y DNU : E33 : : : : : GND : E34 : gnd : : : : GND* : F1 : : : : 7A : VCCIO7A : F2 : power : : 2.5V : 7A : GND : F3 : gnd : : : : GND : F4 : gnd : : : : VCCIO7A : F5 : power : : 2.5V : 7A : GND* : F6 : : : : 7A : ADC1_LVDS_OUT_CNV(n) : F7 : output : LVDS : : 7B : Y GND* : F8 : : : : 7B : VCCIO7B : F9 : power : : 2.5V : 7B : GND* : F10 : : : : 7C : GND* : F11 : : : : 7C : VCCIO7C : F12 : power : : 2.5V : 7C : GND* : F13 : : : : 7C : GND* : F14 : : : : 7D : VCCIO7D : F15 : power : : 2.5V : 7D : GND* : F16 : : : : 7D : Bias5_Control[3] : F17 : bidir : 3.0-V LVTTL : : 8D : Y VCCIO8D : F18 : power : : 3.0V : 8D : Bias4_Control[4] : F19 : bidir : 3.0-V LVTTL : : 8D : Y debug[11] : F20 : bidir : 3.0-V LVTTL : : 8C : Y VCCIO8C : F21 : power : : 3.0V : 8C : am[3] : F22 : input : 3.0-V LVTTL : : 8C : Y vme_data[1] : F23 : bidir : 3.0-V LVTTL : : 8B : Y VCCIO8B : F24 : power : : 3.0V : 8B : vme_data[7] : F25 : bidir : 3.0-V LVTTL : : 8B : Y address[29] : F26 : input : 3.0-V LVTTL : : 8A : Y VCCIO8A : F27 : power : : 3.0V : 8A : address[28] : F28 : input : 3.0-V LVTTL : : 8A : Y _vme_write : F29 : input : 3.0-V LVTTL : : 8A : Y VCCIO8A : F30 : power : : 3.0V : 8A : GND : F31 : gnd : : : : GND : F32 : gnd : : : : DNU : F33 : : : : : RREF : F34 : : : : : GND : G1 : gnd : : : : GND : G2 : gnd : : : : GXB_NC : G3 : : : : B1R : GXB_NC : G4 : : : : B1R : GND : G5 : gnd : : : : GND* : G6 : : : : 7A : GND* : G7 : : : : 7B : GND* : G8 : : : : 7B : GND* : G9 : : : : 7B : GND* : G10 : : : : 7C : ADC2_LVDS_IN_DB : G11 : input : LVDS : : 7C : Y ADC2_LVDS_IN_DA : G12 : input : LVDS : : 7C : Y GND* : G13 : : : : 7C : GND* : G14 : : : : 7D : GND* : G15 : : : : 7D : ADC_Control[1] : G16 : bidir : 2.5 V : : 7D : Y VSUB_Control[3] : G17 : bidir : 3.0-V LVTTL : : 8D : Y Bias4_Control[0] : G18 : bidir : 3.0-V LVTTL : : 8D : Y SW5_Control[0] : G19 : output : 3.0-V LVTTL : : 8D : Y debug[19] : G20 : bidir : 3.0-V LVTTL : : 8C : Y am[0] : G21 : input : 3.0-V LVTTL : : 8C : Y _lword : G22 : input : 3.0-V LVTTL : : 8C : Y GND* : G23 : : : : 8B : vme_data[12] : G24 : bidir : 3.0-V LVTTL : : 8B : Y GND* : G25 : : : : 8B : address[4] : G26 : input : 3.0-V LVTTL : : 8A : Y address[26] : G27 : input : 3.0-V LVTTL : : 8A : Y GND* : G28 : : : : 8A : GND* : G29 : : : : 8A : GND : G30 : gnd : : : : GXB_NC : G31 : : : : B1L : GXB_NC : G32 : : : : B1L : GND : G33 : gnd : : : : GND : G34 : gnd : : : : GND : H1 : : : : B1R : GND : H2 : : : : B1R : GND : H3 : gnd : : : : GND : H4 : gnd : : : : GND : H5 : : : : 7A : GND* : H6 : : : : 7A : GND : H7 : gnd : : : : GND* : H8 : : : : 7B : GND* : H9 : : : : 7B : GND : H10 : gnd : : : : ADC2_LVDS_IN_DB(n) : H11 : input : LVDS : : 7C : Y ADC2_LVDS_IN_DA(n) : H12 : input : LVDS : : 7C : Y GND : H13 : gnd : : : : ADC3_LVDS_IN_DCO : H14 : input : LVDS : : 7D : Y GND* : H15 : : : : 7D : GND : H16 : gnd : : : : SW2_Control[3] : H17 : output : 3.0-V LVTTL : : 8D : Y Bias3_Control[0] : H18 : bidir : 3.0-V LVTTL : : 8D : Y GND : H19 : gnd : : : : debug[14] : H20 : bidir : 3.0-V LVTTL : : 8C : Y debug[15] : H21 : bidir : 3.0-V LVTTL : : 8C : Y GND : H22 : gnd : : : : vme_data[0] : H23 : bidir : 3.0-V LVTTL : : 8B : Y vme_data[15] : H24 : bidir : 3.0-V LVTTL : : 8B : Y GND : H25 : gnd : : : : GND* : H26 : : : : 8A : address[16] : H27 : input : 3.0-V LVTTL : : 8A : Y GND : H28 : gnd : : : : address[3] : H29 : input : 3.0-V LVTTL : : 8A : Y MSEL1 : H30 : input : : : 8A : GND : H31 : gnd : : : : GND : H32 : gnd : : : : GND : H33 : : : : B1L : GND : H34 : : : : B1L : GND : J1 : gnd : : : : GND : J2 : gnd : : : : GXB_NC : J3 : : : : B1R : GXB_NC : J4 : : : : B1R : GND : J5 : gnd : : : : GND* : J6 : : : : 7A : GND* : J7 : : : : 7A : GND* : J8 : : : : 7B : VCCIO7B : J9 : power : : 2.5V : 7B : GND* : J10 : : : : 7B : GND* : J11 : : : : 7C : VCCIO7C : J12 : power : : 2.5V : 7C : GND* : J13 : : : : 7C : ADC3_LVDS_IN_DCO(n) : J14 : input : LVDS : : 7D : Y VCCIO7D : J15 : power : : 2.5V : 7D : DAC_Control[1] : J16 : bidir : 2.5 V : : 7D : Y SW5_Control[3] : J17 : output : 3.0-V LVTTL : : 8D : Y VCCIO8D : J18 : power : : 3.0V : 8D : Bias6_Control[0] : J19 : bidir : 3.0-V LVTTL : : 8D : Y GND* : J20 : : : : 8C : VCCIO8C : J21 : power : : 3.0V : 8C : debug[13] : J22 : bidir : 3.0-V LVTTL : : 8C : Y vme_data[2] : J23 : bidir : 3.0-V LVTTL : : 8B : Y VCCIO8B : J24 : power : : 3.0V : 8B : _ga[1] : J25 : input : 3.0-V LVTTL : : 8B : Y address[31] : J26 : input : 3.0-V LVTTL : : 8A : Y address[6] : J27 : input : 3.0-V LVTTL : : 8A : Y address[5] : J28 : input : 3.0-V LVTTL : : 8A : Y VCCIO8A : J29 : power : : 3.0V : 8A : GND : J30 : gnd : : : : GXB_NC : J31 : : : : B1L : GXB_NC : J32 : : : : B1L : GND : J33 : gnd : : : : GND : J34 : gnd : : : : GND : K1 : : : : B1R : GND : K2 : : : : B1R : GND : K3 : gnd : : : : GND : K4 : gnd : : : : DNU : K5 : : : : : GND* : K6 : : : : 7A : GND* : K7 : : : : 7A : GND* : K8 : : : : 7B : GND* : K9 : : : : 7B : GND* : K10 : : : : 7B : GND* : K11 : : : : 7C : ADC2_LVDS_OUT_CNV : K12 : output : LVDS : : 7C : Y GND* : K13 : : : : 7C : ADC3_LVDS_OUT_CLK : K14 : output : LVDS : : 7D : Y ADC_Control[0] : K15 : bidir : 2.5 V : : 7D : Y GND* : K16 : : : : 7D : SW2_Control[0] : K17 : output : 3.0-V LVTTL : : 8D : Y GND* : K18 : : : : 8D : SW1_Control[3] : K19 : output : 3.0-V LVTTL : : 8D : Y debug[8] : K20 : bidir : 3.0-V LVTTL : : 8C : Y Bias6_Control[4] : K21 : bidir : 3.0-V LVTTL : : 8C : Y debug[12] : K22 : bidir : 3.0-V LVTTL : : 8C : Y _dtack : K23 : output : 3.0-V LVTTL : : 8B : Y vme_data[10] : K24 : bidir : 3.0-V LVTTL : : 8B : Y _iack : K25 : input : 3.0-V LVTTL : : 8B : Y GND* : K26 : : : : 8A : address[13] : K27 : input : 3.0-V LVTTL : : 8A : Y address[7] : K28 : input : 3.0-V LVTTL : : 8A : Y address[14] : K29 : input : 3.0-V LVTTL : : 8A : Y MSEL2 : K30 : input : : : 8A : GND : K31 : gnd : : : : GND : K32 : gnd : : : : GND : K33 : : : : B1L : GND : K34 : : : : B1L : GND : L1 : gnd : : : : GND : L2 : gnd : : : : GXB_NC : L3 : : : : B1R : GXB_NC : L4 : : : : B1R : GND : L5 : gnd : : : : GND* : L6 : : : : 7A : VCCIO7A : L7 : power : : 2.5V : 7A : GND : L8 : gnd : : : : GND* : L9 : : : : 7B : GND : L10 : gnd : : : : ADC2_LVDS_OUT_CNV(n) : L11 : output : LVDS : : 7C : Y GND* : L12 : : : : 7C : GND : L13 : gnd : : : : ADC3_LVDS_OUT_CLK(n) : L14 : output : LVDS : : 7D : Y GND* : L15 : : : : 7D : GND : L16 : gnd : : : : Bias1_Control[3] : L17 : bidir : 3.0-V LVTTL : : 8D : Y DNU : L18 : : : : : GND : L19 : gnd : : : : debug[6] : L20 : bidir : 3.0-V LVTTL : : 8C : Y debug[7] : L21 : bidir : 3.0-V LVTTL : : 8C : Y GND : L22 : gnd : : : : _ga[2] : L23 : input : 3.0-V LVTTL : : 8B : Y vme_data[13] : L24 : bidir : 3.0-V LVTTL : : 8B : Y GND : L25 : gnd : : : : address[27] : L26 : input : 3.0-V LVTTL : : 8A : Y led_0 : L27 : output : 3.0-V LVTTL : : 8A : Y GND : L28 : gnd : : : : address[30] : L29 : input : 3.0-V LVTTL : : 8A : Y GND : L30 : gnd : : : : GXB_NC : L31 : : : : B1L : GXB_NC : L32 : : : : B1L : GND : L33 : gnd : : : : GND : L34 : gnd : : : : GND : M1 : : : : B1R : GND : M2 : : : : B1R : GND : M3 : gnd : : : : GND : M4 : gnd : : : : GND : M5 : gnd : : : : GND : M6 : gnd : : : : GND* : M7 : : : : 7A : GND* : M8 : : : : 7B : VCCPGM : M9 : power : : 3.0V/3.3V : : GND* : M10 : : : : 7B : GND* : M11 : : : : 7C : GND* : M12 : : : : 7C : GND* : M13 : : : : 7C : ADC_Control[2] : M14 : bidir : 2.5 V : : 7D : Y DAC_Control[7] : M15 : bidir : 2.5 V : : 7D : Y DAC_Control[3] : M16 : bidir : 2.5 V : : 7D : Y Bias3_Control[3] : M17 : bidir : 3.0-V LVTTL : : 8D : Y SW5_Control[4] : M18 : output : 3.0-V LVTTL : : 8D : Y Bias4_Control[1] : M19 : bidir : 3.0-V LVTTL : : 8D : Y debug[9] : M20 : bidir : 3.0-V LVTTL : : 8C : Y debug[5] : M21 : bidir : 3.0-V LVTTL : : 8C : Y debug[3] : M22 : bidir : 3.0-V LVTTL : : 8C : Y _ga[4] : M23 : input : 3.0-V LVTTL : : 8B : Y vme_data[11] : M24 : bidir : 3.0-V LVTTL : : 8B : Y vme_data[14] : M25 : bidir : 3.0-V LVTTL : : 8B : Y VCCIO8A : M26 : power : : 3.0V : 8A : GND* : M27 : : : : 8A : VCCBAT : M28 : power : : 1.2V : : MSEL3 : M29 : input : : : 8A : MSEL4 : M30 : input : : : 8A : GND : M31 : gnd : : : : GND : M32 : gnd : : : : GND : M33 : : : : B1L : GND : M34 : : : : B1L : GND : N1 : gnd : : : : GND : N2 : gnd : : : : GXB_NC : N3 : : : : B1R : GXB_NC : N4 : : : : B1R : VCCR_GXBR : N5 : power : : 1.15V : : GND : N6 : gnd : : : : GND : N7 : gnd : : : : VCCPD7A : N8 : power : : 2.5V : 7A : VCCPD7A : N9 : power : : 2.5V : 7A : GND* : N10 : : : : 7B : GND* : N11 : : : : 7B : GND* : N12 : : : : 7C : GND* : N13 : : : : 7C : GND* : N14 : : : : 7D : GND* : N15 : : : : 7D : DAC_Control[4] : N16 : bidir : 2.5 V : : 7D : Y SW4_Control[3] : N17 : output : 3.0-V LVTTL : : 8D : Y GND* : N18 : : : : 8D : VSUB_Control[0] : N19 : bidir : 3.0-V LVTTL : : 8D : Y GND* : N20 : : : : 8C : debug[4] : N21 : bidir : 3.0-V LVTTL : : 8C : Y debug[2] : N22 : bidir : 3.0-V LVTTL : : 8C : Y _ga[0] : N23 : input : 3.0-V LVTTL : : 8B : Y GND* : N24 : : : : 8B : _ga[3] : N25 : input : 3.0-V LVTTL : : 8B : Y VCCPD8 : N26 : power : : 3.0V : : VCCPD8 : N27 : power : : 3.0V : : GND : N28 : gnd : : : : GND : N29 : gnd : : : : VCCR_GXBL : N30 : power : : 1.15V : : GXB_NC : N31 : : : : B1L : GXB_NC : N32 : : : : B1L : GND : N33 : gnd : : : : GND : N34 : gnd : : : : GND : P1 : : : : B1R : GND : P2 : : : : B1R : GND : P3 : gnd : : : : GND : P4 : gnd : : : : VCCL_GXBR1 : P5 : power : : 1.15V : : VCCL_GXBR1 : P6 : power : : 1.15V : : VCCH_GXBR1 : P7 : power : : 1.5V : : GND : P8 : gnd : : : : VCCD_FPLL : P9 : power : : 1.5V : : GND : P10 : gnd : : : : GND* : P11 : : : : 7B : VCC_AUX : P12 : power : : 2.5V : : GND : P13 : gnd : : : : VCCPD7BCD : P14 : power : : 2.5V : : GND : P15 : gnd : : : : VCCPD7BCD : P16 : power : : 2.5V : : GND : P17 : gnd : : : : VCCP : P18 : power : : 1.1V : : GND : P19 : gnd : : : : VCCPD8 : P20 : power : : 3.0V : : GND : P21 : gnd : : : : VCCPD8 : P22 : power : : 3.0V : : GND : P23 : gnd : : : : VCC_AUX : P24 : power : : 2.5V : : GND : P25 : gnd : : : : VCCD_FPLL : P26 : power : : 1.5V : : GND : P27 : gnd : : : : VCCH_GXBL1 : P28 : power : : 1.5V : : VCCL_GXBL1 : P29 : power : : 1.15V : : VCCL_GXBL1 : P30 : power : : 1.15V : : GND : P31 : gnd : : : : GND : P32 : gnd : : : : GND : P33 : : : : B1L : GND : P34 : : : : B1L : GND : R1 : gnd : : : : GND : R2 : gnd : : : : GXB_NC : R3 : : : : B1R : GXB_NC : R4 : : : : B1R : GND : R5 : gnd : : : : VCCT_GXBR1 : R6 : power : : 1.15V : : GND : R7 : gnd : : : : GND : R8 : : : : B1R : GND : R9 : : : : B1R : GND : R10 : gnd : : : : VCCPD7BCD : R11 : power : : 2.5V : : GND : R12 : gnd : : : : VCCP : R13 : power : : 1.1V : : VCC : R14 : power : : 1.1V : : VCC : R15 : power : : 1.1V : : VCCD_FPLL : R16 : power : : 1.5V : : VCCA_FPLL : R17 : power : : 2.5V : : GND : R18 : gnd : : : : VCC : R19 : power : : 1.1V : : GND : R20 : gnd : : : : VCCP : R21 : power : : 1.1V : : GND : R22 : gnd : : : : VCC : R23 : power : : 1.1V : : GND : R24 : gnd : : : : VCC : R25 : power : : 1.1V : : GND : R26 : : : : B1L : GND : R27 : : : : B1L : GND : R28 : gnd : : : : VCCT_GXBL1 : R29 : power : : 1.15V : : GND : R30 : gnd : : : : GXB_NC : R31 : : : : B1L : GXB_NC : R32 : : : : B1L : GND : R33 : gnd : : : : GND : R34 : gnd : : : : GND : T1 : : : : B1R : GND : T2 : : : : B1R : GND : T3 : gnd : : : : GND : T4 : gnd : : : : VCCT_GXBR1 : T5 : power : : 1.15V : : GND : T6 : gnd : : : : VCCA_GXBR1 : T7 : power : : 2.5V : : GND : T8 : gnd : : : : VCCA_FPLL : T9 : power : : 2.5V : : VCCP : T10 : power : : 1.1V : : GND : T11 : gnd : : : : VCC : T12 : power : : 1.1V : : GND : T13 : gnd : : : : VCC : T14 : power : : 1.1V : : GND : T15 : gnd : : : : VCC : T16 : power : : 1.1V : : GND : T17 : gnd : : : : VCC : T18 : power : : 1.1V : : GND : T19 : gnd : : : : VCC : T20 : power : : 1.1V : : GND : T21 : gnd : : : : VCC : T22 : power : : 1.1V : : GND : T23 : gnd : : : : VCC : T24 : power : : 1.1V : : GND : T25 : gnd : : : : VCCA_FPLL : T26 : power : : 2.5V : : GND : T27 : gnd : : : : VCCA_GXBL1 : T28 : power : : 2.5V : : GND : T29 : gnd : : : : VCCT_GXBL1 : T30 : power : : 1.15V : : GND : T31 : gnd : : : : GND : T32 : gnd : : : : GND : T33 : : : : B1L : GND : T34 : : : : B1L : GND : U1 : gnd : : : : GND : U2 : gnd : : : : GXB_NC : U3 : : : : B1R : GXB_NC : U4 : : : : B1R : VCCR_GXBR : U5 : power : : 1.15V : : VCCR_GXBR : U6 : power : : 1.15V : : GND : U7 : gnd : : : : GND : U8 : : : : B1R : GND : U9 : : : : B1R : GND : U10 : gnd : : : : VCC : U11 : power : : 1.1V : : VCC : U12 : power : : 1.1V : : VCC : U13 : power : : 1.1V : : GND : U14 : gnd : : : : VCC : U15 : power : : 1.1V : : GND : U16 : gnd : : : : VCC : U17 : power : : 1.1V : : GND : U18 : gnd : : : : VCC : U19 : power : : 1.1V : : VCC : U20 : power : : 1.1V : : VCC : U21 : power : : 1.1V : : VCC : U22 : power : : 1.1V : : VCC : U23 : power : : 1.1V : : GND : U24 : gnd : : : : VCCP : U25 : power : : 1.1V : : GND : U26 : : : : B1L : GND : U27 : : : : B1L : GND : U28 : gnd : : : : VCCR_GXBL : U29 : power : : 1.15V : : VCCR_GXBL : U30 : power : : 1.15V : : GXB_NC : U31 : : : : B1L : GXB_NC : U32 : : : : B1L : GND : U33 : gnd : : : : GND : U34 : gnd : : : : GND : V1 : : : : B1R : GND : V2 : : : : B1R : GND : V3 : gnd : : : : GND : V4 : gnd : : : : VCCL_GXBR0 : V5 : power : : 1.15V : : VCCL_GXBR0 : V6 : power : : 1.15V : : VCCH_GXBR0 : V7 : power : : 1.5V : : GND : V8 : gnd : : : : VCCA_FPLL : V9 : power : : 2.5V : : VCCP : V10 : power : : 1.1V : : GND : V11 : gnd : : : : VCC : V12 : power : : 1.1V : : GND : V13 : gnd : : : : VCC : V14 : power : : 1.1V : : GND : V15 : gnd : : : : VCC : V16 : power : : 1.1V : : GND : V17 : gnd : : : : VCC : V18 : power : : 1.1V : : GND : V19 : gnd : : : : VCC : V20 : power : : 1.1V : : GND : V21 : gnd : : : : VCC : V22 : power : : 1.1V : : GND : V23 : gnd : : : : VCC : V24 : power : : 1.1V : : GND : V25 : gnd : : : : VCCA_FPLL : V26 : power : : 2.5V : : GND : V27 : gnd : : : : VCCH_GXBL0 : V28 : power : : 1.5V : : VCCL_GXBL0 : V29 : power : : 1.15V : : VCCL_GXBL0 : V30 : power : : 1.15V : : GND : V31 : gnd : : : : GND : V32 : gnd : : : : GND : V33 : : : : B1L : GND : V34 : : : : B1L : GND : W1 : gnd : : : : GND : W2 : gnd : : : : GXB_NC : W3 : : : : B0R : GXB_NC : W4 : : : : B0R : GND : W5 : gnd : : : : VCCT_GXBR0 : W6 : power : : 1.15V : : GND : W7 : gnd : : : : GND : W8 : : : : B0R : GND : W9 : : : : B0R : GND : W10 : gnd : : : : VCC_AUX : W11 : power : : 2.5V : : GND : W12 : gnd : : : : VCC : W13 : power : : 1.1V : : GND : W14 : gnd : : : : VCC : W15 : power : : 1.1V : : GND : W16 : gnd : : : : VCC : W17 : power : : 1.1V : : GND : W18 : gnd : : : : VCC : W19 : power : : 1.1V : : GND : W20 : gnd : : : : VCC : W21 : power : : 1.1V : : GND : W22 : gnd : : : : VCC : W23 : power : : 1.1V : : GND : W24 : gnd : : : : VCCP : W25 : power : : 1.1V : : GND : W26 : : : : B0L : GND : W27 : : : : B0L : GND : W28 : gnd : : : : VCCT_GXBL0 : W29 : power : : 1.15V : : GND : W30 : gnd : : : : GXB_NC : W31 : : : : B0L : GXB_NC : W32 : : : : B0L : GND : W33 : gnd : : : : GND : W34 : gnd : : : : GND : Y1 : : : : B0R : GND : Y2 : : : : B0R : GND : Y3 : gnd : : : : GND : Y4 : gnd : : : : VCCT_GXBR0 : Y5 : power : : 1.15V : : GND : Y6 : gnd : : : : VCCA_GXBR0 : Y7 : power : : 2.5V : : GND : Y8 : gnd : : : : VCCD_FPLL : Y9 : power : : 1.5V : : VCCPD4BCD : Y10 : power : : 2.5V : : ADC4_LVDS_OUT_CLK(n) : Y11 : output : LVDS : : 4C : Y VCCP : Y12 : power : : 1.1V : : VCC : Y13 : power : : 1.1V : : VCCPD4BCD : Y14 : power : : 2.5V : : GND* : Y15 : : : : 4D : VCCPD4BCD : Y16 : power : : 2.5V : : VCCD_FPLL : Y17 : power : : 1.5V : : VCCA_FPLL : Y18 : power : : 2.5V : : VCCP : Y19 : power : : 1.1V : : VCC : Y20 : power : : 1.1V : : VCCPD3 : Y21 : power : : 3.0V : : VCCP : Y22 : power : : 1.1V : : GND* : Y23 : : : : 3B : VCC_AUX : Y24 : power : : 2.5V : : VCCPD3 : Y25 : power : : 3.0V : : VCCD_FPLL : Y26 : power : : 1.5V : : GND : Y27 : gnd : : : : VCCA_GXBL0 : Y28 : power : : 2.5V : : GND : Y29 : gnd : : : : VCCT_GXBL0 : Y30 : power : : 1.15V : : GND : Y31 : gnd : : : : GND : Y32 : gnd : : : : GND : Y33 : : : : B0L : GND : Y34 : : : : B0L :