-- Copyright (C) 1991-2010 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 2.5V -- Bank 2: 2.5V -- Bank 3: 3.3V -- Bank 4: 3.3V -- Bank 5: 2.5V -- Bank 6: 2.5V -- Bank 7: 3.3V -- Bank 8: 3.3V -- Bank 9: 3.3V -- Bank 10: 3.3V -- Bank 11: 3.3V -- Bank 12: 3.3V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. For transceiver I/O banks, connect each pin marked GND* -- either individually through a 10k Ohm resistor to GND or tie all pins -- together and connect through a single 10k Ohm resistor to GND. -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus II 64-Bit Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version CHIP "sigma_delta" ASSIGNED TO AN: EP2S60F1020C5 Device Migration List: "EP2S60F1020C3, EP2S60F1020C4, EP2S60F1020I4" Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- GND : A2 : gnd : : : : VCCIO4 : A3 : power : : 3.3V : 4 : GND* : A4 : : : : 4 : DRS_WSRIN1 : A5 : output : 3.3-V LVTTL : : 4 : Y GND* : A6 : : : : 4 : GND* : A7 : : : : 4 : GND* : A8 : : : : 4 : GND* : A9 : : : : 4 : GND* : A10 : : : : 4 : vme_data[21] : A11 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[28] : A12 : bidir : 3.3-V LVTTL : : 4 : Y GND : A13 : gnd : : : : vme_data[31] : A14 : bidir : 3.3-V LVTTL : : 4 : Y VCCIO4 : A15 : power : : 3.3V : 4 : vme_data[3] : A16 : bidir : 3.3-V LVTTL : : 4 : Y address[7] : A17 : input : 3.3-V LVTTL : : 3 : Y VCCIO3 : A18 : power : : 3.3V : 3 : address[19] : A19 : input : 3.3-V LVTTL : : 11 : Y GND : A20 : gnd : : : : CSB4 : A21 : output : 3.3-V LVTTL : : 3 : Y CSB2 : A22 : output : 3.3-V LVTTL : : 3 : Y DRS_A4[0] : A23 : output : 3.3-V LVTTL : : 3 : Y DRS_A1[1] : A24 : output : 3.3-V LVTTL : : 3 : Y DRS_A4[2] : A25 : output : 3.3-V LVTTL : : 3 : Y DRS_A1[2] : A26 : output : 3.3-V LVTTL : : 3 : Y GND* : A27 : : : : 3 : GND* : A28 : : : : 3 : GND* : A29 : : : : 3 : VCCIO3 : A30 : power : : 3.3V : 3 : GND : A31 : gnd : : : : two_tr[5] : AA1 : input : LVDS : : 6 : Y two_tr[5](n) : AA2 : input : LVDS : : 6 : Y one_tr[5] : AA3 : input : LVDS : : 6 : Y one_tr[5](n) : AA4 : input : LVDS : : 6 : Y VCCIO6 : AA5 : power : : 2.5V : 6 : GND* : AA6 : : : : 6 : GND* : AA7 : : : : 6 : GND* : AA8 : : : : 6 : GND* : AA9 : : : : 6 : GND* : AA10 : : : : 6 : GND* : AA11 : : : : 6 : VCCINT : AA12 : power : : 1.2V : : VCCPD7 : AA13 : power : : 3.3V : 7 : GND : AA14 : gnd : : : : VCCPD7 : AA15 : power : : 3.3V : 7 : VCCIO7 : AA16 : power : : 3.3V : 7 : VCCIO8 : AA17 : power : : 3.3V : 8 : VCCPD8 : AA18 : power : : 3.3V : 8 : GND : AA19 : gnd : : : : VCCPD8 : AA20 : power : : 3.3V : 8 : GND : AA21 : gnd : : : : GND* : AA22 : : : : 1 : GND* : AA23 : : : : 1 : GND* : AA24 : : : : 1 : GND* : AA25 : : : : 1 : GND* : AA26 : : : : 1 : GND* : AA27 : : : : 1 : VCCIO1 : AA28 : power : : 2.5V : 1 : DigInput1(n) : AA29 : input : LVDS : : 1 : Y DigInput1 : AA30 : input : LVDS : : 1 : Y ADC_Aux[1](n) : AA31 : input : LVDS : : 1 : Y ADC_Aux[1] : AA32 : input : LVDS : : 1 : Y three_tr[4] : AB1 : input : LVDS : : 6 : Y three_tr[4](n) : AB2 : input : LVDS : : 6 : Y two_tr[4] : AB3 : input : LVDS : : 6 : Y two_tr[4](n) : AB4 : input : LVDS : : 6 : Y GND* : AB5 : : : : 6 : GND* : AB6 : : : : 6 : GND* : AB7 : : : : 6 : GND* : AB8 : : : : 6 : address[29] : AB9 : input : 3.3-V LVTTL : : 6 : Y _ga[2] : AB10 : input : 3.3-V LVTTL : : 6 : Y am[5] : AB11 : input : 3.3-V LVTTL : : 7 : Y DRS_SROUT4 : AB12 : input : 3.3-V LVTTL : : 7 : Y GND* : AB13 : : : : 7 : GND* : AB14 : : : : 7 : DRS_PLLLCK3 : AB15 : input : 3.3-V LVTTL : : 7 : Y GND* : AB16 : : : : 7 : PDWN_Aux : AB17 : output : 3.3-V LVTTL : : 8 : Y Sw_control3 : AB18 : output : 3.3-V LVTTL : : 8 : Y SDIO2 : AB19 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AB20 : : : : 8 : debug[12] : AB21 : bidir : 3.3-V LVTTL : : 8 : Y GND : AB22 : gnd : : : : DigOutput3(n) : AB23 : output : LVDS : : 1 : Y DigOutput3 : AB24 : output : LVDS : : 1 : Y GND* : AB25 : : : : 1 : GND* : AB26 : : : : 1 : ADCclk4(n) : AB27 : input : LVDS : : 1 : Y ADCclk4 : AB28 : input : LVDS : : 1 : Y ADCclk3(n) : AB29 : input : LVDS : : 1 : Y ADCclk3 : AB30 : input : LVDS : : 1 : Y DigInput2(n) : AB31 : input : LVDS : : 1 : Y DigInput2 : AB32 : input : LVDS : : 1 : Y one_tr[4] : AC1 : input : LVDS : : 6 : Y one_tr[4](n) : AC2 : input : LVDS : : 6 : Y zero_tr[4] : AC3 : input : LVDS : : 6 : Y zero_tr[4](n) : AC4 : input : LVDS : : 6 : Y GND : AC5 : gnd : : : : GND* : AC6 : : : : 6 : GND* : AC7 : : : : 6 : am[4] : AC8 : input : 3.3-V LVTTL : : 6 : Y _lword : AC9 : input : 3.3-V LVTTL : : 6 : Y VCCINT : AC10 : power : : 1.2V : : GND* : AC11 : : : : 7 : GND* : AC12 : : : : 7 : GND* : AC13 : : : : 7 : debug[5] : AC14 : bidir : 3.3-V LVTTL : : 7 : Y GND* : AC15 : : : : 7 : GND* : AC16 : : : : 7 : DAC_ControlBi[0] : AC17 : bidir : 3.3-V LVTTL : : 8 : Y DAC_Control[0] : AC18 : bidir : 3.3-V LVTTL : : 8 : Y Sw_control1 : AC19 : output : 3.3-V LVTTL : : 8 : Y SCLK1 : AC20 : output : 3.3-V LVTTL : : 8 : Y debug[9] : AC21 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AC22 : : : : 8 : VCCSEL : AC23 : : : : 8 : GND* : AC24 : : : : 1 : GND* : AC25 : : : : 1 : GND* : AC26 : : : : 1 : GND* : AC27 : : : : 1 : GND : AC28 : gnd : : : : NC : AC29 : : : : : NC : AC30 : : : : : ADC_Aux_Clock(n) : AC31 : input : LVDS : : 1 : Y ADC_Aux_Clock : AC32 : input : LVDS : : 1 : Y ADC3[7] : AD1 : input : LVDS : : 6 : Y ADC3[7](n) : AD2 : input : LVDS : : 6 : Y NC : AD3 : : : : : NC : AD4 : : : : : VREFB6 : AD5 : power : : : 6 : GND* : AD6 : : : : 6 : GND* : AD7 : : : : 6 : _ga[3] : AD8 : input : 3.3-V LVTTL : : 6 : Y _ga[1] : AD9 : input : 3.3-V LVTTL : : 6 : Y address[30] : AD10 : input : 3.3-V LVTTL : : 7 : Y GND* : AD11 : : : : 7 : GND* : AD12 : : : : 7 : GND* : AD13 : : : : 7 : GND* : AD14 : : : : 7 : VCCD_PLL6 : AD15 : power : : 1.2V : : GNDA_PLL6 : AD16 : gnd : : : : GNDA_PLL12 : AD17 : gnd : : : : DAC_ControlBi[3] : AD18 : bidir : 3.3-V LVTTL : : 8 : Y DRS_SRCLK1 : AD19 : output : 3.3-V LVTTL : : 8 : Y SCLK3 : AD20 : output : 3.3-V LVTTL : : 8 : Y GND* : AD21 : : : : 8 : GND* : AD22 : : : : 8 : debug[4] : AD23 : bidir : 3.3-V LVTTL : : 8 : Y DigOutput2(n) : AD24 : output : LVDS : : 1 : Y DigOutput2 : AD25 : output : LVDS : : 1 : Y GND* : AD26 : : : : 1 : GND* : AD27 : : : : 1 : VREFB1 : AD28 : power : : : 1 : NC : AD29 : : : : : NC : AD30 : : : : : ADCclk2(n) : AD31 : input : LVDS : : 1 : Y ADCclk2 : AD32 : input : LVDS : : 1 : Y ADC4[7] : AE1 : input : LVDS : : 6 : Y ADC4[7](n) : AE2 : input : LVDS : : 6 : Y ADC2[7] : AE3 : input : LVDS : : 6 : Y ADC2[7](n) : AE4 : input : LVDS : : 6 : Y NC : AE5 : : : : : NC : AE6 : : : : : VCCA_PLL9 : AE7 : power : : 1.2V : : VCCD_PLL9 : AE8 : power : : 1.2V : : NC : AE9 : : : : : GND* : AE10 : : : : 7 : GND* : AE11 : : : : 7 : sysclk : AE12 : input : 3.3-V LVTTL : : 7 : Y GND* : AE13 : : : : 7 : GND* : AE14 : : : : 7 : VCCA_PLL6 : AE15 : power : : 1.2V : : GNDA_PLL6 : AE16 : gnd : : : : GNDA_PLL12 : AE17 : gnd : : : : VCCD_PLL12 : AE18 : power : : 1.2V : : DRS_WSROUT2 : AE19 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AE20 : : : : 8 : GND* : AE21 : : : : 8 : GND* : AE22 : : : : 8 : debug[7] : AE23 : bidir : 3.3-V LVTTL : : 8 : Y TMS : AE24 : input : : : 8 : DigOutput1(n) : AE25 : output : LVDS : : 1 : Y DigOutput1 : AE26 : output : LVDS : : 1 : Y DigOutput0(n) : AE27 : output : LVDS : : 1 : Y DigOutput0 : AE28 : output : LVDS : : 1 : Y FCO2(n) : AE29 : input : LVDS : : 1 : Y FCO2 : AE30 : input : LVDS : : 1 : Y ADCclk1(n) : AE31 : input : LVDS : : 1 : Y ADCclk1 : AE32 : input : LVDS : : 1 : Y ADC1[7] : AF1 : input : LVDS : : 6 : Y ADC1[7](n) : AF2 : input : LVDS : : 6 : Y ADC1[6] : AF3 : input : LVDS : : 6 : Y ADC1[6](n) : AF4 : input : LVDS : : 6 : Y NC : AF5 : : : : : NC : AF6 : : : : : GNDA_PLL9 : AF7 : gnd : : : : PLL_ENA : AF8 : : : : 7 : GND : AF9 : gnd : : : : GND* : AF10 : : : : 7 : debug[19] : AF11 : bidir : 3.3-V LVTTL : : 7 : Y debug[14] : AF12 : bidir : 3.3-V LVTTL : : 7 : Y GND* : AF13 : : : : 7 : NC : AF14 : : : : : VCC_PLL6_OUT : AF15 : power : : 3.3V : 10 : VCC_PLL12_OUT : AF16 : power : : 3.3V : 12 : GND : AF17 : gnd : : : : VCCA_PLL12 : AF18 : power : : 1.2V : : CSB3 : AF19 : output : 3.3-V LVTTL : : 8 : Y GND* : AF20 : : : : 8 : GND* : AF21 : : : : 8 : GND* : AF22 : : : : 8 : GND* : AF23 : : : : 8 : TCK : AF24 : input : : : 8 : VCCD_PLL8 : AF25 : power : : 1.2V : : VCCA_PLL8 : AF26 : power : : 1.2V : : NC : AF27 : : : : : NC : AF28 : : : : : FCO1(n) : AF29 : input : LVDS : : 1 : Y FCO1 : AF30 : input : LVDS : : 1 : Y FCO3(n) : AF31 : input : LVDS : : 1 : Y FCO3 : AF32 : input : LVDS : : 1 : Y ADC3[6] : AG1 : input : LVDS : : 6 : Y ADC3[6](n) : AG2 : input : LVDS : : 6 : Y ADC4[6] : AG3 : input : LVDS : : 6 : Y ADC4[6](n) : AG4 : input : LVDS : : 6 : Y VREFB6 : AG5 : power : : : 6 : GND : AG6 : gnd : : : : GNDA_PLL9 : AG7 : gnd : : : : GND* : AG8 : : : : 7 : GND* : AG9 : : : : 7 : GND* : AG10 : : : : 7 : GND* : AG11 : : : : 7 : GND* : AG12 : : : : 7 : GND* : AG13 : : : : 7 : GND* : AG14 : : : : 7 : GND* : AG15 : : : : 7 : debug[8] : AG16 : bidir : 3.3-V LVTTL : : 7 : Y DRS_WSROUT3 : AG17 : bidir : 3.3-V LVTTL : : 8 : Y DRS_WSROUT4 : AG18 : bidir : 3.3-V LVTTL : : 8 : Y DRS_WSROUT1 : AG19 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AG20 : : : : 8 : NC : AG21 : : : : : DAC_ControlBi[5] : AG22 : bidir : 3.3-V LVTTL : : 8 : Y debug[10] : AG23 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AG24 : : : : 8 : NC : AG25 : : : : : GNDA_PLL8 : AG26 : gnd : : : : GNDA_PLL8 : AG27 : gnd : : : : VREFB1 : AG28 : power : : : 1 : GND* : AG29 : : : : 1 : GND* : AG30 : : : : 1 : FCO4(n) : AG31 : input : LVDS : : 1 : Y FCO4 : AG32 : input : LVDS : : 1 : Y ADC2[6] : AH1 : input : LVDS : : 6 : Y ADC2[6](n) : AH2 : input : LVDS : : 6 : Y ADC3[5] : AH3 : input : LVDS : : 6 : Y ADC3[5](n) : AH4 : input : LVDS : : 6 : Y _ga[4] : AH5 : input : 3.3-V LVTTL : : 7 : Y GND* : AH6 : : : : 7 : GND* : AH7 : : : : 7 : GND* : AH8 : : : : 7 : GND* : AH9 : : : : 7 : GND : AH10 : gnd : : : : GND* : AH11 : : : : 7 : VCCIO7 : AH12 : power : : 3.3V : 7 : GND* : AH13 : : : : 7 : GND* : AH14 : : : : 7 : GND* : AH15 : : : : 10 : led_1 : AH16 : output : 3.3-V LVTTL : : 7 : Y DRS_SRCLK3 : AH17 : output : 3.3-V LVTTL : : 8 : Y CLK_Aux(n) : AH18 : output : LVDS : : 12 : Y DAC_Control[2] : AH19 : bidir : 3.3-V LVTTL : : 8 : Y Sw_control4 : AH20 : output : 3.3-V LVTTL : : 8 : Y VCCIO8 : AH21 : power : : 3.3V : 8 : CRC_ERROR_IN : AH22 : bidir : 3.3-V LVTTL : : 8 : Y GND : AH23 : gnd : : : : GND* : AH24 : : : : 8 : debug[18] : AH25 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AH26 : : : : 8 : GND : AH27 : gnd : : : : debug[17] : AH28 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AH29 : : : : 1 : GND* : AH30 : : : : 1 : FCO_Aux(n) : AH31 : input : LVDS : : 1 : Y FCO_Aux : AH32 : input : LVDS : : 1 : Y ADC1[5] : AJ1 : input : LVDS : : 6 : Y ADC1[5](n) : AJ2 : input : LVDS : : 6 : Y am[0] : AJ3 : input : 3.3-V LVTTL : : 6 : Y address[27] : AJ4 : input : 3.3-V LVTTL : : 6 : Y _ga[0] : AJ5 : input : 3.3-V LVTTL : : 7 : Y GND* : AJ6 : : : : 7 : GND* : AJ7 : : : : 7 : GND* : AJ8 : : : : 7 : VREFB7 : AJ9 : power : : : 7 : GND* : AJ10 : : : : 7 : GND* : AJ11 : : : : 7 : DRS_SRLOAD3 : AJ12 : output : 3.3-V LVTTL : : 7 : Y DRS_SRLOAD2 : AJ13 : output : 3.3-V LVTTL : : 7 : Y GND* : AJ14 : : : : 7 : GND* : AJ15 : : : : 10 : GND* : AJ16 : : : : 10 : GND* : AJ17 : : : : 8 : CLK_Aux : AJ18 : output : LVDS : : 12 : Y DAC_Control[4] : AJ19 : bidir : 3.3-V LVTTL : : 8 : Y Sw_control2 : AJ20 : output : 3.3-V LVTTL : : 8 : Y SDIO1 : AJ21 : bidir : 3.3-V LVTTL : : 8 : Y DAC_ControlBi[2] : AJ22 : bidir : 3.3-V LVTTL : : 8 : Y DAC_ControlBi[1] : AJ23 : bidir : 3.3-V LVTTL : : 8 : Y VREFB8 : AJ24 : power : : : 8 : GND* : AJ25 : : : : 8 : GND* : AJ26 : : : : 8 : GND* : AJ27 : : : : 8 : SCLK4 : AJ28 : output : 3.3-V LVTTL : : 8 : Y GND+ : AJ29 : : : : 1 : GND+ : AJ30 : : : : 1 : GND* : AJ31 : : : : 1 : GND* : AJ32 : : : : 1 : VCCIO6 : AK1 : power : : 2.5V : 6 : VREFB7 : AK2 : power : : : 7 : nIO_PULLUP : AK3 : : : : 7 : am[3] : AK4 : input : 3.3-V LVTTL : : 7 : Y debug[15] : AK5 : bidir : 3.3-V LVTTL : : 7 : Y GND* : AK6 : : : : 7 : GND* : AK7 : : : : 7 : GND* : AK8 : : : : 7 : GND* : AK9 : : : : 7 : GND* : AK10 : : : : 7 : GND* : AK11 : : : : 7 : DRS_SROUT3 : AK12 : input : 3.3-V LVTTL : : 7 : Y DRS_SROUT2 : AK13 : input : 3.3-V LVTTL : : 7 : Y VREFB7 : AK14 : power : : : 7 : GND* : AK15 : : : : 10 : GND* : AK16 : : : : 10 : master_clock1 : AK17 : input : 3.3-V LVTTL : : 8 : Y DRS_calib_clock(n) : AK18 : output : LVDS : : 12 : Y VREFB8 : AK19 : power : : : 8 : DAC_Control[3] : AK20 : bidir : 3.3-V LVTTL : : 8 : Y SDIO4 : AK21 : bidir : 3.3-V LVTTL : : 8 : Y DAC_ControlBi[4] : AK22 : bidir : 3.3-V LVTTL : : 8 : Y RecOut : AK23 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AK24 : : : : 8 : SCLK_Aux : AK25 : output : 3.3-V LVTTL : : 8 : Y GND* : AK26 : : : : 8 : GND* : AK27 : : : : 8 : GND* : AK28 : : : : 8 : GND* : AK29 : : : : 8 : TRST : AK30 : input : : : 8 : VREFB8 : AK31 : power : : : 8 : VCCIO1 : AK32 : power : : 2.5V : 1 : GND : AL1 : gnd : : : : PORSEL : AL2 : : : : 7 : nCEO : AL3 : : : : 7 : address[31] : AL4 : input : 3.3-V LVTTL : : 7 : Y GND* : AL5 : : : : 7 : GND* : AL6 : : : : 7 : GND* : AL7 : : : : 7 : GND* : AL8 : : : : 7 : GND* : AL9 : : : : 7 : GND* : AL10 : : : : 7 : GND* : AL11 : : : : 7 : GND* : AL12 : : : : 7 : DRS_WSRIN3 : AL13 : output : 3.3-V LVTTL : : 7 : Y GND* : AL14 : : : : 7 : GND* : AL15 : : : : 10 : clkswitch : AL16 : input : 3.3-V LVTTL : : 7 : Y master_clock0(n) : AL17 : input : LVDS : : 8 : Y DRS_calib_clock : AL18 : output : LVDS : : 12 : Y samplingclock(n) : AL19 : output : LVDS : : 12 : Y DAC_Control[1] : AL20 : bidir : 3.3-V LVTTL : : 8 : Y SDIO3 : AL21 : bidir : 3.3-V LVTTL : : 8 : Y SDIO_Aux : AL22 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AL23 : : : : 8 : GND* : AL24 : : : : 8 : GND* : AL25 : : : : 8 : debug[16] : AL26 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AL27 : : : : 8 : SCLK2 : AL28 : output : 3.3-V LVTTL : : 8 : Y GND* : AL29 : : : : 8 : nCONFIG : AL30 : : : : 8 : TDI : AL31 : input : : : 8 : GND : AL32 : gnd : : : : GND : AM2 : gnd : : : : VCCIO7 : AM3 : power : : 3.3V : 7 : address[28] : AM4 : input : 3.3-V LVTTL : : 7 : Y GND* : AM5 : : : : 7 : GND* : AM6 : : : : 7 : GND* : AM7 : : : : 7 : GND* : AM8 : : : : 7 : DRS_SRLOAD4 : AM9 : output : 3.3-V LVTTL : : 7 : Y GND* : AM10 : : : : 7 : GND* : AM11 : : : : 7 : GND* : AM12 : : : : 7 : GND : AM13 : gnd : : : : GND* : AM14 : : : : 7 : VCCIO7 : AM15 : power : : 3.3V : 7 : led_2 : AM16 : output : 3.3-V LVTTL : : 7 : Y master_clock0 : AM17 : input : LVDS : : 8 : Y VCCIO8 : AM18 : power : : 3.3V : 8 : samplingclock : AM19 : output : LVDS : : 12 : Y GND : AM20 : gnd : : : : CSB1 : AM21 : output : 3.3-V LVTTL : : 8 : Y CSB_Aux : AM22 : output : 3.3-V LVTTL : : 8 : Y GND* : AM23 : : : : 8 : GND* : AM24 : : : : 8 : GND* : AM25 : : : : 8 : GND* : AM26 : : : : 8 : GND* : AM27 : : : : 8 : debug[11] : AM28 : bidir : 3.3-V LVTTL : : 8 : Y GND* : AM29 : : : : 8 : VCCIO8 : AM30 : power : : 3.3V : 8 : GND : AM31 : gnd : : : : GND : B1 : gnd : : : : MSEL0 : B2 : : : : 4 : TEMPDIODEn : B3 : : : : : GND* : B4 : : : : 4 : _berr : B5 : output : 3.3-V LVTTL : : 4 : Y GND* : B6 : : : : 4 : GND* : B7 : : : : 4 : GND* : B8 : : : : 4 : GND* : B9 : : : : 4 : GND* : B10 : : : : 4 : vme_data[26] : B11 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[9] : B12 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[25] : B13 : bidir : 3.3-V LVTTL : : 4 : Y address[17] : B14 : input : 3.3-V LVTTL : : 4 : Y _as : B15 : input : 3.3-V LVTTL : : 9 : Y vme_data[16] : B16 : bidir : 3.3-V LVTTL : : 4 : Y address[4] : B17 : input : 3.3-V LVTTL : : 3 : Y address[5] : B18 : input : 3.3-V LVTTL : : 11 : Y address[16] : B19 : input : 3.3-V LVTTL : : 11 : Y DRS_DENABLE : B20 : output : 3.3-V LVTTL : : 3 : Y GND* : B21 : : : : 3 : GND* : B22 : : : : 3 : DRS_A2[0] : B23 : output : 3.3-V LVTTL : : 3 : Y DRS_A4[1] : B24 : output : 3.3-V LVTTL : : 3 : Y DRS_A3[2] : B25 : output : 3.3-V LVTTL : : 3 : Y DRS_A1[3] : B26 : output : 3.3-V LVTTL : : 3 : Y GND* : B27 : : : : 3 : GND* : B28 : : : : 3 : debug[2] : B29 : bidir : 3.3-V LVTTL : : 3 : Y nSTATUS : B30 : : : : 3 : DCLK : B31 : : : : 3 : GND : B32 : gnd : : : : VCCIO5 : C1 : power : : 2.5V : 5 : VREFB4 : C2 : power : : : 4 : TDO : C3 : output : : : 4 : GND* : C4 : : : : 4 : GND* : C5 : : : : 4 : DRS_PLLLCK1 : C6 : input : 3.3-V LVTTL : : 4 : Y GND* : C7 : : : : 4 : GND* : C8 : : : : 4 : GND* : C9 : : : : 4 : GND* : C10 : : : : 4 : vme_data[17] : C11 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[20] : C12 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[0] : C13 : bidir : 3.3-V LVTTL : : 4 : Y VREFB4 : C14 : power : : : 4 : _ds[1] : C15 : input : 3.3-V LVTTL : : 9 : Y _ds[0] : C16 : input : 3.3-V LVTTL : : 9 : Y address[6] : C17 : input : 3.3-V LVTTL : : 3 : Y led_0 : C18 : output : 3.3-V LVTTL : : 11 : Y VREFB3 : C19 : power : : : 3 : DRS_SRIN1 : C20 : output : 3.3-V LVTTL : : 3 : Y PDWN : C21 : output : 3.3-V LVTTL : : 3 : Y GND* : C22 : : : : 3 : DRS_A1[0] : C23 : output : 3.3-V LVTTL : : 3 : Y DRS_A3[0] : C24 : output : 3.3-V LVTTL : : 3 : Y GND* : C25 : : : : 3 : DRS_A2[3] : C26 : output : 3.3-V LVTTL : : 3 : Y GND* : C27 : : : : 3 : GND* : C28 : : : : 3 : GND* : C29 : : : : 3 : nCE : C30 : : : : 3 : VREFB3 : C31 : power : : : 3 : VCCIO2 : C32 : power : : 2.5V : 2 : three_tr[0] : D1 : input : LVDS : : 5 : Y three_tr[0](n) : D2 : input : LVDS : : 5 : Y GND+ : D3 : : : : 5 : DRS_SROUT1 : D4 : input : 3.3-V LVTTL : : 5 : Y DRS_SRLOAD1 : D5 : output : 3.3-V LVTTL : : 4 : Y GND* : D6 : : : : 4 : GND* : D7 : : : : 4 : GND* : D8 : : : : 4 : VREFB4 : D9 : power : : : 4 : address[15] : D10 : input : 3.3-V LVTTL : : 4 : Y vme_data[14] : D11 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[22] : D12 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[5] : D13 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[11] : D14 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[4] : D15 : bidir : 3.3-V LVTTL : : 9 : Y _iack : D16 : input : 3.3-V LVTTL : : 9 : Y address[2] : D17 : input : 3.3-V LVTTL : : 3 : Y clkswitchcontrol : D18 : output : 3.3-V LVTTL : : 11 : Y DRS_SRIN2 : D19 : output : 3.3-V LVTTL : : 3 : Y DRS_RESET : D20 : output : 3.3-V LVTTL : : 3 : Y GND* : D21 : : : : 3 : GND* : D22 : : : : 3 : GND* : D23 : : : : 3 : VREFB3 : D24 : power : : : 3 : GND* : D25 : : : : 3 : DRS_A2[2] : D26 : output : 3.3-V LVTTL : : 3 : Y GND* : D27 : : : : 3 : GND* : D28 : : : : 3 : GND+ : D29 : : : : 2 : _berrin : D30 : input : 3.3-V LVTTL : : 2 : Y ADC2[5](n) : D31 : input : LVDS : : 2 : Y ADC2[5] : D32 : input : LVDS : : 2 : Y one_tr[0] : E1 : input : LVDS : : 5 : Y one_tr[0](n) : E2 : input : LVDS : : 5 : Y two_tr[0] : E3 : input : LVDS : : 5 : Y two_tr[0](n) : E4 : input : LVDS : : 5 : Y GND* : E5 : : : : 4 : GND* : E6 : : : : 4 : GND* : E7 : : : : 4 : GND* : E8 : : : : 4 : GND* : E9 : : : : 4 : GND : E10 : gnd : : : : address[23] : E11 : input : 3.3-V LVTTL : : 4 : Y VCCIO4 : E12 : power : : 3.3V : 4 : vme_data[6] : E13 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[8] : E14 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[29] : E15 : bidir : 3.3-V LVTTL : : 9 : Y vme_data[15] : E16 : bidir : 3.3-V LVTTL : : 4 : Y transceivers_OE : E17 : output : 3.3-V LVTTL : : 3 : Y address[3] : E18 : input : 3.3-V LVTTL : : 11 : Y DRS_SRCLK4 : E19 : output : 3.3-V LVTTL : : 3 : Y DRS_SRIN3 : E20 : output : 3.3-V LVTTL : : 3 : Y VCCIO3 : E21 : power : : 3.3V : 3 : GND* : E22 : : : : 3 : GND : E23 : gnd : : : : GND* : E24 : : : : 3 : GND* : E25 : : : : 3 : GND* : E26 : : : : 3 : GND* : E27 : : : : 3 : GND* : E28 : : : : 3 : ADC4[5](n) : E29 : input : LVDS : : 2 : Y ADC4[5] : E30 : input : LVDS : : 2 : Y ADC4[4](n) : E31 : input : LVDS : : 2 : Y ADC4[4] : E32 : input : LVDS : : 2 : Y three_tr[1] : F1 : input : LVDS : : 5 : Y three_tr[1](n) : F2 : input : LVDS : : 5 : Y zero_tr[0] : F3 : input : LVDS : : 5 : Y zero_tr[0](n) : F4 : input : LVDS : : 5 : Y VREFB5 : F5 : power : : : 5 : MSEL1 : F6 : : : : 4 : GND : F7 : gnd : : : : debug[13] : F8 : bidir : 3.3-V LVTTL : : 4 : Y GND* : F9 : : : : 4 : GND* : F10 : : : : 4 : address[10] : F11 : input : 3.3-V LVTTL : : 4 : Y address[26] : F12 : input : 3.3-V LVTTL : : 4 : Y vme_data[30] : F13 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[7] : F14 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[18] : F15 : bidir : 3.3-V LVTTL : : 4 : Y _dtack : F16 : output : 3.3-V LVTTL : : 4 : Y dir_trans : F17 : output : 3.3-V LVTTL : : 3 : Y _vme_data_str : F18 : output : 3.3-V LVTTL : : 3 : Y _vme_write : F19 : input : 3.3-V LVTTL : : 3 : Y GND* : F20 : : : : 3 : NC : F21 : : : : : GND* : F22 : : : : 3 : DRS_WSRIN4 : F23 : output : 3.3-V LVTTL : : 3 : Y GND* : F24 : : : : 3 : NC : F25 : : : : : GNDA_PLL7 : F26 : gnd : : : : GND : F27 : gnd : : : : VREFB2 : F28 : power : : : 2 : ADC1[4](n) : F29 : input : LVDS : : 2 : Y ADC1[4] : F30 : input : LVDS : : 2 : Y ADC2[3](n) : F31 : input : LVDS : : 2 : Y ADC2[3] : F32 : input : LVDS : : 2 : Y one_tr[1] : G1 : input : LVDS : : 5 : Y one_tr[1](n) : G2 : input : LVDS : : 5 : Y two_tr[1] : G3 : input : LVDS : : 5 : Y two_tr[1](n) : G4 : input : LVDS : : 5 : Y NC : G5 : : : : : NC : G6 : : : : : GNDA_PLL10 : G7 : gnd : : : : GNDA_PLL10 : G8 : gnd : : : : TEMPDIODEp : G9 : : : : : address[24] : G10 : input : 3.3-V LVTTL : : 4 : Y address[18] : G11 : input : 3.3-V LVTTL : : 4 : Y address[25] : G12 : input : 3.3-V LVTTL : : 4 : Y vme_data[19] : G13 : bidir : 3.3-V LVTTL : : 4 : Y NC : G14 : : : : : VCCA_PLL5 : G15 : power : : 1.2V : : GNDA_PLL5 : G16 : gnd : : : : GND : G17 : gnd : : : : GNDA_PLL11 : G18 : gnd : : : : DRS_DWRITE : G19 : output : 3.3-V LVTTL : : 3 : Y ~CRC_ERROR~ : G20 : output : 3.3-V LVTTL : : 3 : Y GND* : G21 : : : : 3 : GND* : G22 : : : : 3 : GND* : G23 : : : : 3 : GND* : G24 : : : : 3 : _iackout : G25 : output : 3.3-V LVTTL : : 3 : Y GNDA_PLL7 : G26 : gnd : : : : NC : G27 : : : : : NC : G28 : : : : : ADC3[4](n) : G29 : input : LVDS : : 2 : Y ADC3[4] : G30 : input : LVDS : : 2 : Y ADC4[3](n) : G31 : input : LVDS : : 2 : Y ADC4[3] : G32 : input : LVDS : : 2 : Y three_tr[2] : H1 : input : LVDS : : 5 : Y three_tr[2](n) : H2 : input : LVDS : : 5 : Y NC : H3 : : : : : NC : H4 : : : : : NC : H5 : : : : : NC : H6 : : : : : VCCD_PLL10 : H7 : power : : 1.2V : : VCCA_PLL10 : H8 : power : : 1.2V : : NC : H9 : : : : : MSEL3 : H10 : : : : 4 : GND* : H11 : : : : 4 : GND* : H12 : : : : 4 : GND* : H13 : : : : 4 : address[9] : H14 : input : 3.3-V LVTTL : : 4 : Y VCCD_PLL5 : H15 : power : : 1.2V : : GNDA_PLL5 : H16 : gnd : : : : VCCA_PLL11 : H17 : power : : 1.2V : : GNDA_PLL11 : H18 : gnd : : : : ~DATA0~ / RESERVED_INPUT : H19 : input : 3.3-V LVTTL : : 3 : Y GND* : H20 : : : : 3 : DRS_A2[1] : H21 : output : 3.3-V LVTTL : : 3 : Y GND* : H22 : : : : 3 : GND* : H23 : : : : 3 : DRS_WSRIN2 : H24 : output : 3.3-V LVTTL : : 3 : Y VCCD_PLL7 : H25 : power : : 1.2V : : VCCA_PLL7 : H26 : power : : 1.2V : : GND* : H27 : : : : 2 : GND* : H28 : : : : 2 : ADC2[4](n) : H29 : input : LVDS : : 2 : Y ADC2[4] : H30 : input : LVDS : : 2 : Y ADC1[3](n) : H31 : input : LVDS : : 2 : Y ADC1[3] : H32 : input : LVDS : : 2 : Y two_tr[2] : J1 : input : LVDS : : 5 : Y two_tr[2](n) : J2 : input : LVDS : : 5 : Y zero_tr[1] : J3 : input : LVDS : : 5 : Y zero_tr[1](n) : J4 : input : LVDS : : 5 : Y VREFB5 : J5 : power : : : 5 : DRS_REFCLK : J6 : output : LVDS : : 5 : Y DRS_REFCLK(n) : J7 : output : LVDS : : 5 : Y Compclk : J8 : output : LVDS : : 5 : Y Compclk(n) : J9 : output : LVDS : : 5 : Y MSEL2 : J10 : : : : 4 : debug[3] : J11 : bidir : 3.3-V LVTTL : : 4 : Y GND* : J12 : : : : 4 : GND* : J13 : : : : 4 : am[1] : J14 : input : 3.3-V LVTTL : : 4 : Y vme_data[24] : J15 : bidir : 3.3-V LVTTL : : 4 : Y VCC_PLL5_OUT : J16 : power : : 3.3V : 9 : VCC_PLL11_OUT : J17 : power : : 3.3V : 11 : VCCD_PLL11 : J18 : power : : 1.2V : : GND* : J19 : : : : 3 : GND* : J20 : : : : 3 : DRS_A4[3] : J21 : output : 3.3-V LVTTL : : 3 : Y GND* : J22 : : : : 3 : GND* : J23 : : : : 3 : GND : J24 : gnd : : : : CONF_DONE : J25 : : : : 3 : GND* : J26 : : : : 2 : GND* : J27 : : : : 2 : VREFB2 : J28 : power : : : 2 : NC : J29 : : : : : NC : J30 : : : : : ADC3[3](n) : J31 : input : LVDS : : 2 : Y ADC3[3] : J32 : input : LVDS : : 2 : Y zero_tr[2] : K1 : input : LVDS : : 5 : Y zero_tr[2](n) : K2 : input : LVDS : : 5 : Y one_tr[2] : K3 : input : LVDS : : 5 : Y one_tr[2](n) : K4 : input : LVDS : : 5 : Y GND : K5 : gnd : : : : GND* : K6 : : : : 5 : GND* : K7 : : : : 5 : GND* : K8 : : : : 5 : GND* : K9 : : : : 5 : VCCINT : K10 : power : : 1.2V : : GND* : K11 : : : : 4 : GND* : K12 : : : : 4 : vme_data[13] : K13 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[27] : K14 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[1] : K15 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[12] : K16 : bidir : 3.3-V LVTTL : : 4 : Y _modsel : K17 : output : 3.3-V LVTTL : : 4 : Y address[14] : K18 : input : 3.3-V LVTTL : : 3 : Y DRS_SRCLK2 : K19 : output : 3.3-V LVTTL : : 3 : Y DRS_PLLLCK4 : K20 : input : 3.3-V LVTTL : : 3 : Y DRS_A3[3] : K21 : output : 3.3-V LVTTL : : 3 : Y GND* : K22 : : : : 3 : VCCINT : K23 : power : : 1.2V : : _iackin : K24 : input : 3.3-V LVTTL : : 2 : Y DRS_PLLLCK2 : K25 : input : 3.3-V LVTTL : : 2 : Y GND* : K26 : : : : 2 : GND* : K27 : : : : 2 : GND : K28 : gnd : : : : ADC1[2](n) : K29 : input : LVDS : : 2 : Y ADC1[2] : K30 : input : LVDS : : 2 : Y ADC3[2](n) : K31 : input : LVDS : : 2 : Y ADC3[2] : K32 : input : LVDS : : 2 : Y zero_tr[3] : L1 : input : LVDS : : 5 : Y zero_tr[3](n) : L2 : input : LVDS : : 5 : Y three_tr[3] : L3 : input : LVDS : : 5 : Y three_tr[3](n) : L4 : input : LVDS : : 5 : Y GND* : L5 : : : : 5 : GND* : L6 : : : : 5 : GND* : L7 : : : : 5 : GND* : L8 : : : : 5 : GND* : L9 : : : : 5 : GND* : L10 : : : : 5 : GND : L11 : gnd : : : : GND* : L12 : : : : 4 : GND* : L13 : : : : 4 : address[20] : L14 : input : 3.3-V LVTTL : : 4 : Y vme_data[10] : L15 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[23] : L16 : bidir : 3.3-V LVTTL : : 4 : Y vme_data[2] : L17 : bidir : 3.3-V LVTTL : : 4 : Y address[8] : L18 : input : 3.3-V LVTTL : : 3 : Y DRS_SRIN4 : L19 : output : 3.3-V LVTTL : : 3 : Y DRS_A3[1] : L20 : output : 3.3-V LVTTL : : 3 : Y debug[6] : L21 : bidir : 3.3-V LVTTL : : 3 : Y GND* : L22 : : : : 3 : GND* : L23 : : : : 2 : GND* : L24 : : : : 2 : GND* : L25 : : : : 2 : GND* : L26 : : : : 2 : NC : L27 : : : : : NC : L28 : : : : : ADC4[2](n) : L29 : input : LVDS : : 2 : Y ADC4[2] : L30 : input : LVDS : : 2 : Y ADC4[1](n) : L31 : input : LVDS : : 2 : Y ADC4[1] : L32 : input : LVDS : : 2 : Y two_tr[7] : M1 : input : LVDS : : 5 : Y two_tr[7](n) : M2 : input : LVDS : : 5 : Y one_tr[3] : M3 : input : LVDS : : 5 : Y one_tr[3](n) : M4 : input : LVDS : : 5 : Y VCCIO5 : M5 : power : : 2.5V : 5 : address[11] : M6 : input : 3.3-V LVTTL : : 5 : Y address[22] : M7 : input : 3.3-V LVTTL : : 5 : Y GND* : M8 : : : : 5 : GND* : M9 : : : : 5 : GND* : M10 : : : : 5 : GND* : M11 : : : : 5 : GND : M12 : gnd : : : : VCCPD4 : M13 : power : : 3.3V : 4 : GND : M14 : gnd : : : : VCCPD4 : M15 : power : : 3.3V : 4 : VCCIO4 : M16 : power : : 3.3V : 4 : VCCIO3 : M17 : power : : 3.3V : 3 : VCCPD3 : M18 : power : : 3.3V : 3 : GND : M19 : gnd : : : : VCCPD3 : M20 : power : : 3.3V : 3 : VCCINT : M21 : power : : 1.2V : : GND* : M22 : : : : 2 : GND* : M23 : : : : 2 : GND* : M24 : : : : 2 : GND* : M25 : : : : 2 : GND* : M26 : : : : 2 : GND* : M27 : : : : 2 : VCCIO2 : M28 : power : : 2.5V : 2 : ADC2[1](n) : M29 : input : LVDS : : 2 : Y ADC2[1] : M30 : input : LVDS : : 2 : Y ADC3[1](n) : M31 : input : LVDS : : 2 : Y ADC3[1] : M32 : input : LVDS : : 2 : Y GND : N1 : gnd : : : : three_tr[7] : N2 : input : LVDS : : 5 : Y three_tr[7](n) : N3 : input : LVDS : : 5 : Y two_tr[3] : N4 : input : LVDS : : 5 : Y two_tr[3](n) : N5 : input : LVDS : : 5 : Y address[13] : N6 : input : 3.3-V LVTTL : : 5 : Y address[12] : N7 : input : 3.3-V LVTTL : : 5 : Y address[21] : N8 : input : 3.3-V LVTTL : : 5 : Y GND* : N9 : : : : 5 : NC : N10 : : : : : NC : N11 : : : : : VCCPD5 : N12 : power : : 3.3V : 5 : VCCINT : N13 : power : : 1.2V : : GND : N14 : gnd : : : : VCCINT : N15 : power : : 1.2V : : GND : N16 : gnd : : : : VCCINT : N17 : power : : 1.2V : : GND : N18 : gnd : : : : VCCINT : N19 : power : : 1.2V : : GND : N20 : gnd : : : : VCCPD2 : N21 : power : : 3.3V : 2 : GND* : N22 : : : : 2 : GND* : N23 : : : : 2 : GND* : N24 : : : : 2 : GND* : N25 : : : : 2 : GND* : N26 : : : : 2 : GND* : N27 : : : : 2 : ADC2[2](n) : N28 : input : LVDS : : 2 : Y ADC2[2] : N29 : input : LVDS : : 2 : Y ADC1[1](n) : N30 : input : LVDS : : 2 : Y ADC1[1] : N31 : input : LVDS : : 2 : Y GND : N32 : gnd : : : : zero_tr[7] : P1 : input : LVDS : : 5 : Y zero_tr[7](n) : P2 : input : LVDS : : 5 : Y VREFB5 : P3 : power : : : 5 : GND* : P4 : : : : 5 : GND* : P5 : : : : 5 : GND* : P6 : : : : 5 : GND* : P7 : : : : 5 : GND* : P8 : : : : 5 : am[2] : P9 : input : 3.3-V LVTTL : : 5 : Y GND* : P10 : : : : 5 : GND* : P11 : : : : 5 : GND : P12 : gnd : : : : GND : P13 : gnd : : : : VCCINT : P14 : power : : 1.2V : : GND : P15 : gnd : : : : VCCINT : P16 : power : : 1.2V : : GND : P17 : gnd : : : : VCCINT : P18 : power : : 1.2V : : GND : P19 : gnd : : : : VCCINT : P20 : power : : 1.2V : : GND : P21 : gnd : : : : NC : P22 : : : : : NC : P23 : : : : : GND* : P24 : : : : 2 : GND* : P25 : : : : 2 : GND* : P26 : : : : 2 : GND* : P27 : : : : 2 : GND* : P28 : : : : 2 : GND* : P29 : : : : 2 : VREFB2 : P30 : power : : : 2 : ADC2[0](n) : P31 : input : LVDS : : 2 : Y ADC2[0] : P32 : input : LVDS : : 2 : Y VCCIO5 : R1 : power : : 2.5V : 5 : one_tr[7] : R2 : input : LVDS : : 5 : Y one_tr[7](n) : R3 : input : LVDS : : 5 : Y GND* : R4 : : : : 5 : GND* : R5 : : : : 5 : GND* : R6 : : : : 5 : GND* : R7 : : : : 5 : GNDA_PLL4 : R8 : gnd : : : : VCCA_PLL4 : R9 : power : : 1.2V : : GND* : R10 : : : : 5 : GND* : R11 : : : : 5 : VCCPD5 : R12 : power : : 3.3V : 5 : VCCINT : R13 : power : : 1.2V : : GND : R14 : gnd : : : : VCCINT : R15 : power : : 1.2V : : GND : R16 : gnd : : : : VCCINT : R17 : power : : 1.2V : : GND : R18 : gnd : : : : VCCINT : R19 : power : : 1.2V : : GND : R20 : gnd : : : : VCCPD2 : R21 : power : : 3.3V : 2 : GND* : R22 : : : : 2 : GND* : R23 : : : : 2 : GND* : R24 : : : : 2 : GND* : R25 : : : : 2 : GND* : R26 : : : : 2 : GND* : R27 : : : : 2 : GND* : R28 : : : : 2 : GND* : R29 : : : : 2 : ADC4[0](n) : R30 : input : LVDS : : 2 : Y ADC4[0] : R31 : input : LVDS : : 2 : Y VCCIO2 : R32 : power : : 2.5V : 2 : three_tr[6] : T1 : input : LVDS : : 5 : Y three_tr[6](n) : T2 : input : LVDS : : 5 : Y GND+ : T3 : : : : 5 : GND+ : T4 : : : : 5 : GND* : T5 : : : : 5 : GND* : T6 : : : : 5 : GND : T7 : gnd : : : : GNDA_PLL4 : T8 : gnd : : : : VCCD_PLL4 : T9 : power : : 1.2V : : GND* : T10 : : : : 5 : GND* : T11 : : : : 5 : VCCIO5 : T12 : power : : 2.5V : 5 : GND : T13 : gnd : : : : VCCINT : T14 : power : : 1.2V : : GND : T15 : gnd : : : : VCCINT : T16 : power : : 1.2V : : GND : T17 : gnd : : : : VCCINT : T18 : power : : 1.2V : : GND : T19 : gnd : : : : VCCINT : T20 : power : : 1.2V : : VCCIO2 : T21 : power : : 2.5V : 2 : GND* : T22 : : : : 2 : GND* : T23 : : : : 2 : VCCA_PLL1 : T24 : power : : 1.2V : : GNDA_PLL1 : T25 : gnd : : : : GNDA_PLL1 : T26 : gnd : : : : GND* : T27 : : : : 2 : GND* : T28 : : : : 2 : GND+ : T29 : : : : 2 : GND+ : T30 : : : : 2 : ADC1[0](n) : T31 : input : LVDS : : 2 : Y ADC1[0] : T32 : input : LVDS : : 2 : Y two_tr[6] : U1 : input : LVDS : : 6 : Y two_tr[6](n) : U2 : input : LVDS : : 6 : Y GND+ : U3 : : : : 6 : GND+ : U4 : : : : 6 : GND* : U5 : : : : 6 : GND* : U6 : : : : 6 : VCCD_PLL3 : U7 : power : : 1.2V : : GNDA_PLL3 : U8 : gnd : : : : VCCA_PLL3 : U9 : power : : 1.2V : : GND* : U10 : : : : 6 : GND* : U11 : : : : 6 : VCCIO6 : U12 : power : : 2.5V : 6 : VCCINT : U13 : power : : 1.2V : : GND : U14 : gnd : : : : VCCINT : U15 : power : : 1.2V : : GND : U16 : gnd : : : : VCCINT : U17 : power : : 1.2V : : GND : U18 : gnd : : : : VCCINT : U19 : power : : 1.2V : : GND : U20 : gnd : : : : VCCIO1 : U21 : power : : 2.5V : 1 : GND* : U22 : : : : 1 : GND* : U23 : : : : 1 : VCCD_PLL1 : U24 : power : : 1.2V : : GNDA_PLL2 : U25 : gnd : : : : GNDA_PLL2 : U26 : gnd : : : : GND* : U27 : : : : 1 : GND* : U28 : : : : 1 : GND+ : U29 : : : : 1 : GND+ : U30 : : : : 1 : ADC3[0](n) : U31 : input : LVDS : : 1 : Y ADC3[0] : U32 : input : LVDS : : 1 : Y VCCIO6 : V1 : power : : 2.5V : 6 : one_tr[6] : V2 : input : LVDS : : 6 : Y one_tr[6](n) : V3 : input : LVDS : : 6 : Y GND* : V4 : : : : 6 : GND* : V5 : : : : 6 : GND* : V6 : : : : 6 : GND* : V7 : : : : 6 : GNDA_PLL3 : V8 : gnd : : : : GND* : V9 : : : : 6 : GND* : V10 : : : : 6 : GND : V11 : gnd : : : : VCCPD6 : V12 : power : : 3.3V : 6 : GND : V13 : gnd : : : : VCCINT : V14 : power : : 1.2V : : GND : V15 : gnd : : : : VCCINT : V16 : power : : 1.2V : : GND : V17 : gnd : : : : VCCINT : V18 : power : : 1.2V : : GND : V19 : gnd : : : : VCCINT : V20 : power : : 1.2V : : VCCPD1 : V21 : power : : 3.3V : 1 : GND : V22 : gnd : : : : GND* : V23 : : : : 1 : GND* : V24 : : : : 1 : VCCD_PLL2 : V25 : power : : 1.2V : : VCCA_PLL2 : V26 : power : : 1.2V : : GND : V27 : gnd : : : : GND* : V28 : : : : 1 : GND* : V29 : : : : 1 : ADC_Aux[3](n) : V30 : input : LVDS : : 1 : Y ADC_Aux[3] : V31 : input : LVDS : : 1 : Y VCCIO1 : V32 : power : : 2.5V : 1 : zero_tr[6] : W1 : input : LVDS : : 6 : Y zero_tr[6](n) : W2 : input : LVDS : : 6 : Y VREFB6 : W3 : power : : : 6 : GND* : W4 : : : : 6 : GND* : W5 : : : : 6 : GND* : W6 : : : : 6 : GND* : W7 : : : : 6 : GND* : W8 : : : : 6 : GND* : W9 : : : : 6 : NC : W10 : : : : : NC : W11 : : : : : GND : W12 : gnd : : : : VCCINT : W13 : power : : 1.2V : : GND : W14 : gnd : : : : VCCINT : W15 : power : : 1.2V : : GND : W16 : gnd : : : : VCCINT : W17 : power : : 1.2V : : GND : W18 : gnd : : : : VCCINT : W19 : power : : 1.2V : : GND : W20 : gnd : : : : VCCINT : W21 : power : : 1.2V : : NC : W22 : : : : : NC : W23 : : : : : GND* : W24 : : : : 1 : GND* : W25 : : : : 1 : GND* : W26 : : : : 1 : GND* : W27 : : : : 1 : GND* : W28 : : : : 1 : GND* : W29 : : : : 1 : VREFB1 : W30 : power : : : 1 : ADC_Aux[2](n) : W31 : input : LVDS : : 1 : Y ADC_Aux[2] : W32 : input : LVDS : : 1 : Y GND : Y1 : gnd : : : : three_tr[5] : Y2 : input : LVDS : : 6 : Y three_tr[5](n) : Y3 : input : LVDS : : 6 : Y zero_tr[5] : Y4 : input : LVDS : : 6 : Y zero_tr[5](n) : Y5 : input : LVDS : : 6 : Y GND* : Y6 : : : : 6 : GND* : Y7 : : : : 6 : GND* : Y8 : : : : 6 : GND* : Y9 : : : : 6 : GND* : Y10 : : : : 6 : GND* : Y11 : : : : 6 : VCCPD6 : Y12 : power : : 3.3V : 6 : GND : Y13 : gnd : : : : VCCINT : Y14 : power : : 1.2V : : GND : Y15 : gnd : : : : VCCINT : Y16 : power : : 1.2V : : GND : Y17 : gnd : : : : VCCINT : Y18 : power : : 1.2V : : GND : Y19 : gnd : : : : VCCINT : Y20 : power : : 1.2V : : VCCPD1 : Y21 : power : : 3.3V : 1 : GND* : Y22 : : : : 1 : GND* : Y23 : : : : 1 : GND* : Y24 : : : : 1 : GND* : Y25 : : : : 1 : GND* : Y26 : : : : 1 : GND* : Y27 : : : : 1 : DigInput0(n) : Y28 : input : LVDS : : 1 : Y DigInput0 : Y29 : input : LVDS : : 1 : Y ADC_Aux[0](n) : Y30 : input : LVDS : : 1 : Y ADC_Aux[0] : Y31 : input : LVDS : : 1 : Y GND : Y32 : gnd : : : :