-- Copyright (C) 2018 Intel Corporation. All rights reserved. -- Your use of Intel Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Intel Program License -- Subscription Agreement, the Intel Quartus Prime License Agreement, -- the Intel FPGA IP License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for -- the sole purpose of programming logic devices manufactured by -- Intel and sold by Intel or its authorized distributors. Please -- refer to the applicable agreement for further details. -- -- This is a Quartus Prime output file. It is for reporting purposes only, and is -- not intended for use as a Quartus Prime input file. This file cannot be used -- to make Quartus Prime pin assignments - for instructions on how to make pin -- assignments, please see Quartus Prime help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1A: 3.3V -- Bank 1B: 3.3V -- Bank 2: 3.3V -- Bank 3: 3.3V -- Bank 5: 3.3V -- Bank 6: 3.3V -- Bank 8: 3.3V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition CHIP "TEST" ASSIGNED TO AN: 10M16SAU169C8G Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- GND : A1 : gnd : : : : am[0] : A2 : input : 3.3-V LVTTL : : 8 : Y address[13] : A3 : input : 3.3-V LVTTL : : 8 : Y address[18] : A4 : input : 3.3-V LVTTL : : 8 : Y transceivers_OE : A5 : output : 3.3-V LVTTL : : 8 : Y address[20] : A6 : input : 3.3-V LVTTL : : 8 : Y address[10] : A7 : input : 3.3-V LVTTL : : 8 : Y addr[19] : A8 : output : 3.3-V LVTTL : : 8 : Y addr[24] : A9 : output : 3.3-V LVTTL : : 8 : Y addr[23] : A10 : output : 3.3-V LVTTL : : 8 : Y addr[16] : A11 : output : 3.3-V LVTTL : : 8 : Y vmewrite : A12 : output : 3.3-V LVTTL : : 6 : Y GND : A13 : gnd : : : : address[23] : B1 : input : 3.3-V LVTTL : : 1A : Y am[4] : B2 : input : 3.3-V LVTTL : : 8 : Y address[4] : B3 : input : 3.3-V LVTTL : : 8 : Y address[25] : B4 : input : 3.3-V LVTTL : : 8 : Y addr[17] : B5 : output : 3.3-V LVTTL : : 8 : Y addr[11] : B6 : output : 3.3-V LVTTL : : 8 : Y addr[18] : B7 : output : 3.3-V LVTTL : : 8 : Y GND : B8 : gnd : : : : address[21] : B9 : input : 3.3-V LVTTL : : 8 : Y address[17] : B10 : input : 3.3-V LVTTL : : 8 : Y address[6] : B11 : input : 3.3-V LVTTL : : 6 : Y _ds[0] : B12 : input : 3.3-V LVTTL : : 6 : Y am[5] : B13 : input : 3.3-V LVTTL : : 6 : Y addr[25] : C1 : output : 3.3-V LVTTL : : 1A : Y addr[8] : C2 : output : 3.3-V LVTTL : : 1A : Y GND : C3 : gnd : : : : ~ALTERA_nSTATUS~ / RESERVED_INPUT : C4 : input : 3.3 V Schmitt Trigger : : 8 : Y ~ALTERA_CONF_DONE~ / RESERVED_INPUT : C5 : input : 3.3 V Schmitt Trigger : : 8 : Y VCCIO8 : C6 : power : : 3.3V : 8 : VCCIO8 : C7 : power : : 3.3V : 8 : VCCIO8 : C8 : power : : 3.3V : 8 : addr[15] : C9 : output : 3.3-V LVTTL : : 8 : Y address[5] : C10 : input : 3.3-V LVTTL : : 8 : Y _vme_write : C11 : input : 3.3-V LVTTL : : 6 : Y vmeas : C12 : output : 3.3-V LVTTL : : 6 : Y addr[7] : C13 : output : 3.3-V LVTTL : : 6 : Y address[26] : D1 : input : 3.3-V LVTTL : : 1A : Y ANAIN1 : D2 : : : : : ADC_VREF : D3 : : : : : VCCA3 : D4 : power : : 3.0V/3.3V : : GND : D5 : gnd : : : : MNGR_AUX_0 : D6 : bidir : 3.3-V LVTTL : : 8 : Y ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : D7 : input : 3.3-V LVTTL : : 8 : Y addr[21] : D8 : output : 3.3-V LVTTL : : 8 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 6 : VCCA2 : D10 : power : : 3.0V/3.3V : : LED_1 : D11 : output : 3.3-V LVTTL : : 6 : Y LED_3 : D12 : output : 3.3-V LVTTL : : 6 : Y address[29] : D13 : input : 3.3-V LVTTL : : 6 : Y address[22] : E1 : input : 3.3-V LVTTL : : 1A : Y REFGND : E2 : : : : : address[3] : E3 : input : 3.3-V LVTTL : : 1A : Y _dtack : E4 : output : 3.3-V LVTTL : : 1A : Y vme_data[7] : E5 : bidir : 3.3-V LVTTL : : 1B : Y address[15] : E6 : input : 3.3-V LVTTL : : 8 : Y ~ALTERA_nCONFIG~ / RESERVED_INPUT : E7 : input : 3.3 V Schmitt Trigger : : 8 : Y address[16] : E8 : input : 3.3-V LVTTL : : 8 : Y dir_trans : E9 : output : 3.3-V LVTTL : : 6 : Y LED_2 : E10 : output : 3.3-V LVTTL : : 6 : Y GND : E11 : gnd : : : : _lword : E12 : input : 3.3-V LVTTL : : 6 : Y vmeds : E13 : output : 3.3-V LVTTL : : 6 : Y address[11] : F1 : input : 3.3-V LVTTL : : 1A : Y VCCIO1A : F2 : power : : 3.3V : 1A : GND : F3 : gnd : : : : _berr : F4 : output : 3.3-V LVTTL : : 1B : Y ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : input : 3.3 V Schmitt Trigger : : 1B : Y ~ALTERA_TDO~ : F6 : output : 3.3-V LVTTL : : 1B : Y VCC_ONE : F7 : power : : 3.0V/3.3V : : address[30] : F8 : input : 3.3-V LVTTL : : 6 : Y address[7] : F9 : input : 3.3-V LVTTL : : 6 : Y am[3] : F10 : input : 3.3-V LVTTL : : 6 : Y VCCIO6 : F11 : power : : 3.3V : 6 : _ga[2] : F12 : input : 3.3-V LVTTL : : 6 : Y _ga[1] : F13 : input : 3.3-V LVTTL : : 6 : Y ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : input : 3.3 V Schmitt Trigger : : 1B : Y ~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 3.3 V Schmitt Trigger : : 1B : Y VCCIO1B : G3 : power : : 3.3V : 1B : am[2] : G4 : input : 3.3-V LVTTL : : 1B : Y vme_data[20] : G5 : bidir : 3.3-V LVTTL : : 2 : Y VCC_ONE : G6 : power : : 3.0V/3.3V : : GND : G7 : gnd : : : : VCC_ONE : G8 : power : : 3.0V/3.3V : : addr[5] : G9 : output : 3.3-V LVTTL : : 6 : Y addr[26] : G10 : output : 3.3-V LVTTL : : 6 : Y VCCIO6 : G11 : power : : 3.3V : 6 : _ga[0] : G12 : input : 3.3-V LVTTL : : 5 : Y address[27] : G13 : input : 3.3-V LVTTL : : 5 : Y addr[3] : H1 : output : 3.3-V LVTTL : : 1B : Y vme_data[0] : H2 : bidir : 3.3-V LVTTL : : 1B : Y I2C_SDA : H3 : bidir : 3.3-V LVTTL : : 1B : Y _as : H4 : input : 3.3-V LVTTL : : 2 : Y CLOCKIN : H5 : input : 3.3-V LVTTL : : 2 : Y vme_data[22] : H6 : bidir : 3.3-V LVTTL : : 2 : Y VCC_ONE : H7 : power : : 3.0V/3.3V : : address[28] : H8 : input : 3.3-V LVTTL : : 5 : Y addr[6] : H9 : output : 3.3-V LVTTL : : 5 : Y addr[22] : H10 : output : 3.3-V LVTTL : : 5 : Y VCCIO5 : H11 : power : : 3.3V : 5 : GND : H12 : gnd : : : : _ds[1] : H13 : input : 3.3-V LVTTL : : 5 : Y vme_data[19] : J1 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[18] : J2 : bidir : 3.3-V LVTTL : : 2 : Y VCCIO2 : J3 : power : : 3.3V : 2 : GND : J4 : gnd : : : : vme_data[11] : J5 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[25] : J6 : bidir : 3.3-V LVTTL : : 3 : Y MNGR_AUX_1 : J7 : bidir : 3.3-V LVTTL : : 3 : Y address[2] : J8 : input : 3.3-V LVTTL : : 3 : Y address[12] : J9 : input : 3.3-V LVTTL : : 5 : Y address[24] : J10 : input : 3.3-V LVTTL : : 5 : Y VCCIO5 : J11 : power : : 3.3V : 5 : am[1] : J12 : input : 3.3-V LVTTL : : 5 : Y addr[14] : J13 : output : 3.3-V LVTTL : : 5 : Y vme_data[17] : K1 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[15] : K2 : bidir : 3.3-V LVTTL : : 2 : Y VCCIO2 : K3 : power : : 3.3V : 2 : VCCA1 : K4 : power : : 3.0V/3.3V : : vme_data[12] : K5 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[30] : K6 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[27] : K7 : bidir : 3.3-V LVTTL : : 3 : Y _iack : K8 : input : 3.3-V LVTTL : : 3 : Y VCCA4 : K9 : power : : 3.0V/3.3V : : I2C_SCL : K10 : bidir : 3.3-V LVTTL : : 5 : Y addr[13] : K11 : output : 3.3-V LVTTL : : 5 : Y _ga[4] : K12 : input : 3.3-V LVTTL : : 5 : Y _ga[3] : K13 : input : 3.3-V LVTTL : : 5 : Y vme_data[21] : L1 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[23] : L2 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[3] : L3 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[14] : L4 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[4] : L5 : bidir : 3.3-V LVTTL : : 3 : Y VCCIO3 : L6 : power : : 3.3V : 3 : VCCIO3 : L7 : power : : 3.3V : 3 : VCCIO3 : L8 : power : : 3.3V : 3 : GND : L9 : gnd : : : : address[9] : L10 : input : 3.3-V LVTTL : : 3 : Y addr[12] : L11 : output : 3.3-V LVTTL : : 3 : Y S10_AUX_0 : L12 : bidir : 3.3-V LVTTL : : 5 : Y address[31] : L13 : input : 3.3-V LVTTL : : 5 : Y S10_AUX_1 : M1 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[6] : M2 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[16] : M3 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[2] : M4 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[1] : M5 : bidir : 3.3-V LVTTL : : 3 : Y GND : M6 : gnd : : : : vme_data[8] : M7 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[29] : M8 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[26] : M9 : bidir : 3.3-V LVTTL : : 3 : Y address[14] : M10 : input : 3.3-V LVTTL : : 3 : Y address[19] : M11 : input : 3.3-V LVTTL : : 3 : Y addr[20] : M12 : output : 3.3-V LVTTL : : 3 : Y address[8] : M13 : input : 3.3-V LVTTL : : 3 : Y GND : N1 : gnd : : : : vme_data[28] : N2 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[24] : N3 : bidir : 3.3-V LVTTL : : 2 : Y vme_data[5] : N4 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[13] : N5 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[31] : N6 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[9] : N7 : bidir : 3.3-V LVTTL : : 3 : Y vme_data[10] : N8 : bidir : 3.3-V LVTTL : : 3 : Y addr[10] : N9 : output : 3.3-V LVTTL : : 3 : Y addr[9] : N10 : output : 3.3-V LVTTL : : 3 : Y addr[2] : N11 : output : 3.3-V LVTTL : : 3 : Y addr[4] : N12 : output : 3.3-V LVTTL : : 3 : Y GND : N13 : gnd : : : :