-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 2.5V -- Bank 2: 2.5V -- Bank 3: 1.2V -- Bank 4: 2.5V -- Bank 5: 1.2V -- Bank 6: 1.2V -- Bank 7: 3.0V -- Bank 8: 3.0V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), -- connect each pin marked GND* either individually through a 10k Ohm resistor -- to GND or tie all pins together and connect through a single 10k Ohm resistor -- to GND. -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Full Version CHIP "sigma_delta" ASSIGNED TO AN: EP3C25Q240C8 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- VCCD_PLL3 : 1 : power : : 1.2V : : GNDA3 : 2 : gnd : : : : VCCA3 : 3 : power : : 2.5V : : CLK_Aux : 4 : output : LVDS : : 1 : Y CLK_Aux(n) : 5 : output : LVDS : : 1 : N GND* : 6 : : : : 1 : VCCIO1 : 7 : power : : 2.5V : 1 : GND : 8 : gnd : : : : debug2v5_[13] : 9 : bidir : 2.5 V : : 1 : Y VCCINT : 10 : power : : 1.2V : : GND : 11 : gnd : : : : ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 12 : input : 2.5 V : : 1 : Y debug2v5_[12] : 13 : bidir : 2.5 V : : 1 : Y ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 14 : input : 2.5 V : : 1 : Y VCCIO1 : 15 : power : : 2.5V : 1 : GND : 16 : gnd : : : : nSTATUS : 17 : : : : 1 : GND* : 18 : : : : 1 : VCCINT : 19 : power : : 1.2V : : GND : 20 : gnd : : : : FCO_Aux : 21 : input : LVDS : : 1 : Y FCO_Aux(n) : 22 : input : LVDS : : 1 : N ~ALTERA_DCLK~ : 23 : output : 2.5 V : : 1 : Y ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 24 : input : 2.5 V : : 1 : Y nCONFIG : 25 : : : : 1 : TDI : 26 : input : : : 1 : TCK : 27 : input : : : 1 : TMS : 28 : input : : : 1 : TDO : 29 : output : : : 1 : nCE : 30 : : : : 1 : master_clock : 31 : input : 3.0-V LVTTL : : 1 : Y GND+ : 32 : : : : 1 : GND+ : 33 : : : : 2 : USB_CLKOUT : 34 : input : 3.0-V LVTTL : : 2 : Y VCCIO2 : 35 : power : : 2.5V : 2 : GND : 36 : gnd : : : : ADC_Aux[3] : 37 : input : LVDS : : 2 : Y ADC_Aux[3](n) : 38 : input : LVDS : : 2 : N GND* : 39 : : : : 2 : VCCINT : 40 : power : : 1.2V : : ADC_Aux[1] : 41 : input : LVDS : : 2 : Y GND : 42 : gnd : : : : ADC_Aux[1](n) : 43 : input : LVDS : : 2 : N ADC_Aux[0] : 44 : input : LVDS : : 2 : Y ADC_Aux[0](n) : 45 : input : LVDS : : 2 : N GND* : 46 : : : : 2 : VCCIO2 : 47 : power : : 2.5V : 2 : GND : 48 : gnd : : : : ADC_Aux[2] : 49 : input : LVDS : : 2 : Y ADC_Aux[2](n) : 50 : input : LVDS : : 2 : N GND* : 51 : : : : 2 : GND* : 52 : : : : 2 : VCCINT : 53 : power : : 1.2V : : GND : 54 : gnd : : : : debug2v5_[14] : 55 : bidir : 2.5 V : : 2 : Y debug2v5_[4] : 56 : bidir : 2.5 V : : 2 : Y debug2v5_[15] : 57 : bidir : 2.5 V : : 2 : Y VCCA1 : 58 : power : : 2.5V : : GNDA1 : 59 : gnd : : : : VCCD_PLL1 : 60 : power : : 1.2V : : VCCINT : 61 : power : : 1.2V : : GND : 62 : gnd : : : : TOKblock_select4[1] : 63 : output : 1.2 V : : 3 : Y Rd4 : 64 : output : 1.2 V : : 3 : Y TOKblock_select4[0] : 65 : output : 1.2 V : : 3 : Y VCCIO3 : 66 : power : : 1.2V : 3 : GND : 67 : gnd : : : : TOKin4 : 68 : output : 1.2 V : : 3 : Y Mclk : 69 : output : 1.2 V : : 3 : Y CLEARglob4 : 70 : output : 1.2 V : : 3 : Y TOKout1 : 71 : input : 1.2 V : : 3 : Y Rd1 : 72 : output : 1.2 V : : 3 : Y VDLout1 : 73 : input : 1.2 V : : 3 : Y VCCINT : 74 : power : : 1.2V : : GND : 75 : gnd : : : : TRIGout4 : 76 : input : 1.2 V : : 3 : Y VCCIO3 : 77 : power : : 1.2V : 3 : TOKout4 : 78 : input : 1.2 V : : 3 : Y GND : 79 : gnd : : : : TRIGout2 : 80 : input : 1.2 V : : 3 : Y TRIGdelay_out4 : 81 : input : 1.2 V : : 3 : Y TOKin3 : 82 : output : 1.2 V : : 3 : Y VDLout3 : 83 : input : 1.2 V : : 3 : Y chanROmonitor4 : 84 : input : 1.2 V : : 3 : Y VCCINT : 85 : power : : 1.2V : : GND : 86 : gnd : : : : Rd2 : 87 : output : 1.2 V : : 3 : Y CLEARglob3 : 88 : output : 1.2 V : : 3 : Y TOKout2 : 89 : input : 1.2 V : : 3 : Y chanROmonitor3 : 90 : input : 1.2 V : : 3 : Y ADC_Aux_Clock : 91 : input : LVDS : : 4 : Y ADC_Aux_Clock(n) : 92 : input : LVDS : : 4 : N GND* : 93 : : : : 4 : GND* : 94 : : : : 4 : GND* : 95 : : : : 4 : VCCIO4 : 96 : power : : 2.5V : 4 : GND : 97 : gnd : : : : debug2v5_[11] : 98 : bidir : 2.5 V : : 4 : Y debug2v5_[10] : 99 : bidir : 2.5 V : : 4 : Y debug2v5_[5] : 100 : bidir : 2.5 V : : 4 : Y VCCINT : 101 : power : : 1.2V : : GND : 102 : gnd : : : : debug2v5_[6] : 103 : bidir : 2.5 V : : 4 : Y VCCIO4 : 104 : power : : 2.5V : 4 : GND : 105 : gnd : : : : debug2v5_[8] : 106 : bidir : 2.5 V : : 4 : Y debug2v5_[7] : 107 : bidir : 2.5 V : : 4 : Y debug2v5_[3] : 108 : bidir : 2.5 V : : 4 : Y debug2v5_[9] : 109 : bidir : 2.5 V : : 4 : Y debug2v5_[0] : 110 : bidir : 2.5 V : : 4 : Y debug2v5_[1] : 111 : bidir : 2.5 V : : 4 : Y debug2v5_[2] : 112 : bidir : 2.5 V : : 4 : Y led_2 : 113 : output : 2.5 V : : 4 : Y Ext_Trg_In : 114 : input : 3.0-V LVTTL : : 4 : Y VCCINT : 115 : power : : 1.2V : : GND : 116 : gnd : : : : CSB_Aux : 117 : output : 2.5 V : : 4 : Y SCLK_Aux : 118 : output : 2.5 V : : 4 : Y PDWN_Aux : 119 : output : 2.5 V : : 4 : Y SDIO_Aux : 120 : bidir : 2.5 V : : 4 : Y VCCD_PLL4 : 121 : power : : 1.2V : : GNDA4 : 122 : gnd : : : : VCCA4 : 123 : power : : 2.5V : : VCCIO5 : 124 : power : : 1.2V : 5 : GND : 125 : gnd : : : : Rd3 : 126 : output : 1.2 V : : 5 : Y TOKout3 : 127 : input : 1.2 V : : 5 : Y TOKin1 : 128 : output : 1.2 V : : 5 : Y VCCINT : 129 : power : : 1.2V : : GND : 130 : gnd : : : : TRIG_ext : 131 : output : 1.2 V : : 5 : Y TRIGout3 : 132 : input : 1.2 V : : 5 : Y TOKblock_select3[0] : 133 : output : 1.2 V : : 5 : Y TRIGdelay_out1 : 134 : input : 1.2 V : : 5 : Y SWfixed_obsv2 : 135 : input : 1.2 V : : 5 : Y VCCIO5 : 136 : power : : 1.2V : 5 : chanROmonitor1 : 137 : input : 1.2 V : : 5 : Y GND : 138 : gnd : : : : CLEARglob1 : 139 : output : 1.2 V : : 5 : Y VCCINT : 140 : power : : 1.2V : : GND : 141 : gnd : : : : SWfixed_obsv3 : 142 : input : 1.2 V : : 5 : Y TRIGdelay_out3 : 143 : input : 1.2 V : : 5 : Y chanROmonitor2 : 144 : input : 1.2 V : : 5 : Y CLEARglob2 : 145 : output : 1.2 V : : 5 : Y TOKblock_select3[1] : 146 : output : 1.2 V : : 5 : Y TRIG_mode : 147 : output : 1.2 V : : 5 : Y TRIG_sign : 148 : output : 1.2 V : : 5 : Y GND+ : 149 : : : : 5 : GND+ : 150 : : : : 5 : SWfixed_obsv1 : 151 : input : 1.2 V : : 6 : Y TRIGout1 : 152 : input : 1.2 V : : 6 : Y CONF_DONE : 153 : : : : 6 : VCCIO6 : 154 : power : : 1.2V : 6 : MSEL0 : 155 : : : : 6 : GND : 156 : gnd : : : : MSEL1 : 157 : : : : 6 : MSEL2 : 158 : : : : 6 : RDclk1 : 159 : output : 1.2 V : : 6 : Y TRIGdelay_out2 : 160 : input : 1.2 V : : 6 : Y TOKin2 : 161 : output : 1.2 V : : 6 : Y ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 162 : output : 1.2 V : : 6 : Y VCCINT : 163 : power : : 1.2V : : VDLout2 : 164 : input : 1.2 V : : 6 : Y GND : 165 : gnd : : : : SWfixed_obsv4 : 166 : input : 1.2 V : : 6 : Y VDLout4 : 167 : input : 1.2 V : : 6 : Y TOKblock_select1[1] : 168 : output : 1.2 V : : 6 : Y TOKblock_select2[1] : 169 : output : 1.2 V : : 6 : Y VCCIO6 : 170 : power : : 1.2V : 6 : TOKblock_select2[0] : 171 : output : 1.2 V : : 6 : Y GND : 172 : gnd : : : : TOKblock_select1[0] : 173 : output : 1.2 V : : 6 : Y VCCINT : 174 : power : : 1.2V : : GND : 175 : gnd : : : : CHAN_select : 176 : output : 1.2 V : : 6 : Y TOKtime_sel : 177 : output : 1.2 V : : 6 : Y VCCA2 : 178 : power : : 2.5V : : GNDA2 : 179 : gnd : : : : VCCD_PLL2 : 180 : power : : 1.2V : : DACone[0] : 181 : bidir : 3.0-V LVTTL : : 7 : Y DACone[3] : 182 : bidir : 3.0-V LVTTL : : 7 : Y DACone[4] : 183 : bidir : 3.0-V LVTTL : : 7 : Y DACone[2] : 184 : bidir : 3.0-V LVTTL : : 7 : Y DACone[1] : 185 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[4] : 186 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[15] : 187 : bidir : 3.0-V LVTTL : : 7 : Y led_1 : 188 : output : 3.0-V LVTTL : : 7 : Y USB_IFCLK : 189 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 190 : power : : 1.2V : : GND : 191 : gnd : : : : VCCIO7 : 192 : power : : 3.0V : 7 : GND : 193 : gnd : : : : USB_FD[2] : 194 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[1] : 195 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[2] : 196 : bidir : 3.0-V LVTTL : : 7 : Y USB_PA[5] : 197 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 198 : power : : 1.2V : : GND : 199 : gnd : : : : USB_FD[1] : 200 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[5] : 201 : bidir : 3.0-V LVTTL : : 7 : Y USB_FD[7] : 202 : bidir : 3.0-V LVTTL : : 7 : Y USB_CTL[0] : 203 : bidir : 3.0-V LVTTL : : 7 : Y VCCINT : 204 : power : : 1.2V : : GND : 205 : gnd : : : : VCCIO7 : 206 : power : : 3.0V : 7 : USB_FD[8] : 207 : bidir : 3.0-V LVTTL : : 7 : Y GND : 208 : gnd : : : : GND+ : 209 : : : : 7 : GND+ : 210 : : : : 7 : GND+ : 211 : : : : 8 : GND+ : 212 : : : : 8 : VCCIO8 : 213 : power : : 3.0V : 8 : USB_PA[0] : 214 : bidir : 3.0-V LVTTL : : 8 : Y GND : 215 : gnd : : : : USB_PA[7] : 216 : bidir : 3.0-V LVTTL : : 8 : Y USB_PA[3] : 217 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[3] : 218 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[0] : 219 : bidir : 3.0-V LVTTL : : 8 : Y VCCINT : 220 : power : : 1.2V : : USB_FD[4] : 221 : bidir : 3.0-V LVTTL : : 8 : Y GND : 222 : gnd : : : : USB_FD[12] : 223 : bidir : 3.0-V LVTTL : : 8 : Y USB_RDY[1] : 224 : bidir : 3.0-V LVTTL : : 8 : Y VCCIO8 : 225 : power : : 3.0V : 8 : USB_FD[14] : 226 : bidir : 3.0-V LVTTL : : 8 : Y GND : 227 : gnd : : : : VCCINT : 228 : power : : 1.2V : : GND : 229 : gnd : : : : USB_CTL[2] : 230 : bidir : 3.0-V LVTTL : : 8 : Y USB_RDY[0] : 231 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[10] : 232 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[6] : 233 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[11] : 234 : bidir : 3.0-V LVTTL : : 8 : Y USB_PA[6] : 235 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[13] : 236 : bidir : 3.0-V LVTTL : : 8 : Y USB__WAKEUP : 237 : bidir : 3.0-V LVTTL : : 8 : Y USB_CTL[1] : 238 : bidir : 3.0-V LVTTL : : 8 : Y USB_FD[9] : 239 : bidir : 3.0-V LVTTL : : 8 : Y led_0 : 240 : output : 3.0-V LVTTL : : 8 : Y